PDF (19.6 MB)
Collect
Submit Manuscript
Show Outline
Outline
Abstract
Keywords
References
Show full outline
Hide outline
Topical Review | Open Access

Atomic level deposition to extend Moore’s law and beyond

Rong Chen1 Yi-Cheng Li1Jia-Ming Cai1Kun Cao1Han-Bo-Ram Lee2
State Key Laboratory of Digital Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, 1037 Luoyu Road, Wuhan, Hubei, People’s Republic of China
Department of Materials Science and Engineering, Incheon National University, Incheon 22012, Republic of Korea
Show Author Information

Abstract

In the past decades, Moore’s law drives the semiconductor industry to continuously shrink the critical size of transistors down to 7 nm. As transistors further downscaling to smaller sizes, the law reaches its limitation, and the increase of transistors density on the chip decelerates. Up to now, extreme ultraviolet lithography has been used in some key steps, and it is facing alignment precision and high costs for high-volume manufacturing. Meanwhile, the introduction of new materials and 3D complex structures brings serious challenges for top-down methods. Thus, bottom-up schemes are believed to be necessary methods combined with the top-down processes. In this article, atomic level deposition methods are reviewed and categorized to extend Moore’s law and beyond. Firstly, the deposition brings lateral angstrom resolution to the vertical direction as well as top-down etching, such as double patterning, transfer of nanowires, deposition of nanotubes, and so on. Secondly, various template-assisted selective deposition methods including dielectric templates, inhibitors and correction steps have been utilized for the alignment of 3D complex structures. Higher resolution can be achieved by inherently selective deposition, and the underlying selective mechanism is discussed. Finally, the requirements for higher precision and efficiency manufacturing are also discussed, including the equipment, integration processes, scale-up issues, etc. The article reviews low dimensional manufacturing and integration of 3D complex structures for the extension of Moore’s law in semiconductor fields, and emerging fields including but not limited to energy, catalysis, sensor and biomedicals.

References

[1]

Salahuddin S, Ni K and Datta S 2018 The era of hyper-scaling in electronics Nat. Electron. 1 442–50

[2]

Moore G E 1965 Cramming more components onto integrated circuits Electronics 38 114–17

[3]

Chu M, Sun Y K, Aghoram U and Thompson S E 2009 Strain: a solution for higher carrier mobility in nanoscale MOSFETs Annu. Rev. Mater. Res. 39 203–29

[4]

Fischetti M V and Laux S E 1996 Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys J. Appl. Phys. 80 2234–52

[5]
Auth C 2008 45 nm high-k + metal gate strain-enhanced transistors Proc. 2008 Symp. on VLSI Technology (Honolulu, HI: IEEE) pp 128–9
[6]

Hisamoto D et al 2000 FinFET-a self-aligned double-gate MOSFET scalable to 20 nm IEEE Trans. Electron. Dev. 47 2320–5

[7]

Wagner C and Harned N 2010 Lithography gets extreme Nat. Photon. 4 24–6

[8]
Yen A, Meiling H and Benschop J 2019 Enabling manufacturing of sub-10 nm generations of integrated circuits with EUV lithography Proc. 2019 Electron Devices Technology and Manufacturing Conf. (Singapore: IEEE) pp 475–7
[9]

Lu W and Lieber C M 2007 Nanoelectronics from the bottom up Nat. Mater. 6 841–50

[10]

Mackus A J M, Merkx M J M and Kessels W M M 2019 From the bottom-up: toward area-selective atomic layer deposition with high selectivity Chem. Mater. 31 2–12

[11]

Clark R, Tapily K, Yu K H, Hakamata T, Consiglio S et al 2018 Perspective: new process technologies required for future devices and scaling APL Mater. 6 058203

[12]

Lu J L, Elam J W and Stair P C 2016 Atomic layer deposition—sequential self-limiting surface reactions for advanced catalyst 'bottom-up' synthesis Surf. Sci. Rep. 71 410–72

[13]

Mackus A J M, Bol A A and Kessels W M M 2014 The use of atomic layer deposition in advanced nanopatterning Nanoscale 6 10941–60

[14]

Barth J V, Costantini G and Kern K 2005 Engineering atomic and molecular nanostructures at surfaces Nature 437 671–9

[15]

Ternes M, Lutz C P, Hirjibehedin C F, Giessibl F J and Heinrich A J 2008 The force needed to move an atom on a surface Science 319 1066–9

[16]

Chu S 1991 Laser manipulation of atoms and particles Science 253 861–6

[17]

Cirac Z P and Zoller P 2003 Physics: how to manipulate cold atoms Science 301 176–7

[18]
Feynman R P 1959 There’s plenty of room at the bottom American Physical Society Meeting (Pasadena, CA)
[19]
Rosato D V, Rosato D V and Rosato M G 2000 Injection Molding Handbook (Boston, MA: Springer)
[20]

Ngo T D, Kashani A, Imbalzano G, Nguyen K T Q and Hui D 2018 Additive manufacturing (3D printing): a review of materials, methods, applications and challenges Composites B 143 172–96

[21]

Whitesides G M and Grzybowski B 2002 Self-assembly at all scales Science 295 2418–21

[22]

Pavliček N and Gross L 2017 Generation, manipulation and characterization of molecules by atomic force microscopy Nat. Rev. Chem. 1 5

[23]
Fang F Z 2015 Suggestions to promoting the implements of ‘manufacturing Ⅲ’ People’s Daily p 7 (in Chinese)
[24]
Fang F Z 2015 ‘Manufacturing Ⅲ’ is the strategic choice for manufacturing development People’s Tribune pp 59–61 (in Chinese)
[25]
Mahan J E 2000 Physical Vapor Deposition of Thin Films (New York: Wiley)
[26]
Sherman A 1987 Chemical Vapor Deposition for Microelectronics: Principles, Technology, and Applications (Park Ridge, IL: Noyes Publications)
[27]
Park J H and Sudarshan T S 2011 Chemical Vapor Deposition (Materials Park, OH: ASM International)
[28]

Johnson R W, Hultqvist A and Bent S F 2014 A brief review of atomic layer deposition: from fundamentals to applications Mater. Today 17 236–46

[29]

George S M 2010 Atomic layer deposition: an overview Chem. Rev. 110 111–31

[30]

Gregorczyk K and Knez M 2016 Hybrid nanomaterials through molecular and atomic layer deposition: top down, bottom up, and in-between approaches to new materials Prog. Mater. Sci. 75 1–37

[31]

Mackus A J M, Schneider J R, MacIsaac C, Baker J G and Bent S F 2019 Synthesis of doped, ternary, and quaternary materials by atomic layer deposition: a review Chem. Mater. 31 1142–83

[32]
Rossnagel S M and Kim H 2001 From PVD to CVD to ALD for interconnects and related applications Proc. IEEE 2001 Int. Interconnect Technology Conf. (Burlingame, CA: IEEE) pp 3–5
[33]

Rim K et al 2003 Strained Si CMOS (SS CMOS) technology: opportunities and challenges Solid-State Electron. 47 1133–9

[34]

Iwai H 2015 Future of nano CMOS technology Solid-State Electron. 112 56–67

[35]

Shiu W, Liu H J, Wu J S, Tseng T L, Liao C T, Liao C M, Liu J, Wang T et al 2009 Advanced self-aligned double patterning development for sub-30-nm DRAM manufacturing Proc. SPIE 7274 72740E

[36]

Hennessy W A, Ghezzo M, Wilson R H and Bakhru H 1988 Selective CVD tungsten on silicon implanted SiO2 J. Electrochem. Soc. 135 1730–4

[37]

Joyce B D and Baldrey J A 1962 Selective epitaxial deposition of silicon Nature 195 485–6

[38]

Shaw D W 1966 Selective epitaxial deposition of gallium arsenide in holes J. Electrochem. Soc. 113 904

[39]
Ludwig C and Meyer S 2011 Double patterning for memory ICs Recent Advances in Nanofabrication Techniques and Applications ed B Cui (Rijeka: IntechOpen) pp 417–32
[40]

Jeong E, Kim J, Choi K, Lee M, Lee D, Kim M and Park C 2008 Double patterning in lithography for 65 nm node with oxidation process Proc. SPIE 6924 692424

[41]
Yaegashi H 2016 Pattern fidelity control in multi-patterning towards 7 nm node Proc. 16th Int. Conf. on Nanotechnology (Sendai, Japan: IEEE) pp 452–5
[42]

Yaegashi H, Oyama K, Yamauchi S, Hara A, Natori S and Yamato M 2014 Enabled scaling capability with self-aligned multiple patterning process J. Photopolym. Sci. Technol. 27 491–6

[43]
Gardner D S, Onuki J, Kudoo K and Misawa Y 1991 Encapsulated copper interconnection devices using sidewall barriers Proc. 8th Int. IEEE VLSI Multilevel Interconnection Conf. (Santa Clara, CA: IEEE) pp 99–108
[44]

Chen Z et al 2004 Dielectric/metal sidewall diffusion barrier for Cu/porous ultralow-k interconnect technology Appl. Phys. Lett. 84 2442–4

[45]

Tan T L, Gan C L, Du A Y and Cheng C K 2009 Effect of Ta migration from sidewall barrier on leakage current in Cu/SiOCH low-k dielectrics J. Appl. Phys. 106 043517

[46]

Li Y L et al 2014 Reliability challenges for barrier/liner system in high aspect ratio through silicon vias Microelectron. Reliab. 54 1949–52

[47]
Shkondin E, Leandro L, Malureanu R, Jensen F, Rozlosnik N and Lavrinenko A V 2015 Ultra-thin metal and dielectric layers for nanophotonic applications Proc. 17th Int. Conf. on Transparent Optical Networks (Budapest: IEEE) pp 1–4
[48]

Ozatay O et al 2008 Sidewall oxide effects on spin-torque- and magnetic-field-induced reversal characteristics of thin-film nanomagnets Nat. Mater. 7 567–73

[49]

Chen X et al 2013 Atomic layer lithography of wafer-scale nanogap arrays for extreme confinement of electromagnetic waves Nat. Commun. 4 2361

[50]

Park H R, Chen X S, Nguyen N C, Peraire J and Oh S H 2015 Nanogap-enhanced terahertz sensing of 1 nm thick (λ/106) dielectric films ACS Photonics 2 417–24

[51]

Yoo D, Gurunatha K L, Choi H K, Mohr D A, Ertsgaard C T, Gordon R and Oh S-H 2018 Low-power optical trapping of nanoparticles and proteins with resonant coaxial nanoaperture using 10 nm gap Nano Lett. 18 3637–42

[52]

Yoo D et al 2018 High-contrast infrared absorption spectroscopy via mass-produced coaxial zero-mode resonators with sub-10 nm gaps Nano Lett. 18 1930–6

[53]

Thelander C et al 2006 Nanowire-based one-dimensional electronics Mater. Today 9 28–35

[54]

Li Y, Qian F, Xiang J and Lieber C M 2006 Nanowire electronic and optoelectronic devices Mater. Today 9 18–27

[55]

Larrieu G and Han X L 2013 Vertical nanowire array-based field effect transistors for ultimate scaling Nanoscale 5 2437–41

[56]

Lee B H, Kang M H, Ahn D C, Park J Y, Bang T, Jeon S-B, Hur J, Lee D and Choi Y-K 2015 Vertically integrated multiple nanowire field effect transistor Nano Lett. 15 8056–61

[57]

Tian B Z, Kempa T J and Lieber C M 2009 Single nanowire photovoltaics Chem. Soc. Rev. 38 16–24

[58]

Garnett E C, Brongersma M L, Cui Y and McGehee M D 2011 Nanowire solar cells Annu. Rev. Mater. Res. 41 269–95

[59]

Xu S, Qin Y, Xu C, Wei Y G, Yang R S and Wang Z L 2010 Self-powered nanowire devices Nat. Nanotechnol. 5 366–73

[60]

Zheng G F, Patolsky F, Cui Y, Wang W U and Lieber C M 2005 Multiplexed electrical detection of cancer markers with nanowire sensor arrays Nat. Biotechnol. 23 1294–301

[61]

Heath J R 2008 Superlattice nanowire pattern transfer (SNAP) Acc. Chem. Res. 41 1609–17

[62]

Melosh N A, Boukai A, Diana F, Gerardot B, Badolato A, Petroff P M and Heath J R 2003 Ultrahigh-density nanowire lattices and circuits Science 300 112–5

[63]

Lane A P et al 2017 Directed self-assembly and pattern transfer of five nanometer block copolymer lamellae ACS Nano 11 7656–65

[64]

Kim J H, Jeong J H, Lee S H, Kim K, Yoon J S, Choi D S and Yoo Y E 2018 The influence of contact material on lateral wet-etching of nickel thin films in lamellae structure Thin Solid Films 660 19–22

[65]

Qin L D, Park S, Huang L and Mirkin C A 2005 On-wire lithography Science 309 113–5

[66]

Chen X D, Jeon Y M, Jang J W, Qin L D, Huo F W, Wei W and Mirkin C A 2008 On-wire lithography-generated molecule-based transport junctions: a new testbed for molecular electronics J. Am. Chem. Soc. 130 8166–8

[67]

Mohaddes-Ardabili L et al 2004 Self-assembled single-crystal ferromagnetic iron nanowires formed by decomposition Nat. Mater. 3 533–8

[68]

Chen A P, Bi Z X, Jia Q X, MacManus-Driscoll J L and Wang H Y 2013 Microstructure, vertical strain control and tunable functionalities in self-assembled, vertically aligned nanocomposite thin films Acta. Mater. 61 2783–92

[69]

MacManus-Driscoll J L, Zerrer P, Wang H Y, Yang H, Yoon J, Fouchet A, Yu R, Blamire M G and Jia Q 2008 Strain control and spontaneous phase ordering in vertical nanocomposite heteroepitaxial thin films Nat. Mater. 7 314–20

[70]

Zhang W R, Chen A P, Bi Z X, Jia Q X, MacManus-Driscoll J L and Wang H 2014 Interfacial coupling in heteroepitaxial vertically aligned nanocomposite thin films: from lateral to vertical control Curr. Opin. Solid State Mater. Sci. 18 6–18

[71]

Reddy M S P, Im K S, Lee J H, Caulmione R and Cristoloveanu S 2019 Trap and 1/f-noise effects at the surface and core of GaN nanowire gate-all-around FET structure Nano Res. 12 809–14

[72]

Xu B, Kaneko T and Kato T 2019 Improvement in growth yield of single-walled carbon nanotubes with narrow chirality distribution by pulse plasma CVD Front. Chem. Sci. Eng. 13 485–92

[73]

Ali H, Ismail N, Amin M S and Mekewi M 2018 Decoration of vertically aligned TiO2 nanotube arrays with WO3 particles for hydrogen fuel production Front. Energy 12 249–58

[74]

Zhang J K, Gao Z, Wang S, Wang G F, Gao X F, Zhang B, Xing S, Zhao S and Qin Y 2019 Origin of synergistic effects in bicomponent cobalt oxide-platinum catalysts for selective hydrogenation reaction Nat. Commun. 10 4166

[75]

Huang B, Yang W J, Wen Y W, Shan B and Chen R 2015 Co3O4-modified TiO2 nanotube arrays via atomic layer deposition for improved visible-light photoelectrochemical performance ACS Appl. Mater. Interfaces 7 422–31

[76]

Nam S W, Rooks M J, Kim K B and Rossnagel S M 2009 Ionic field effect transistors with sub-10 nm multiple nanopores Nano Lett. 9 2044–8

[77]

Sainiemi L, Viheriälä J, Sikanen T, Laukkanen J and Niemi T 2010 Nanoperforated silicon membranes fabricated by UV-nanoimprint lithography, deep reactive ion etching and atomic layer deposition J. Micromech. Microeng. 20 077001

[78]
Pangracious V, Marrakchi Z and Mehrez H 2015 Three-dimensional integration: a more than Moore technology Three-Dimensional Design Methodologies for Tree-Based FPGA Architecture ed V Pangracious, Z Marrakchi and H Mehrez (Cham: Springer) pp 13–41
[79]

Sander M S, Côté M J, Gu W, Kile B M and Tripp C P 2004 Template-assisted fabrication of dense, aligned arrays of titania nanotubes with well-controlled dimensions on substrates Adv. Mater. 16 2052–7

[80]

Ras R H, Kemell M, De Wit J, Ritala M, ten Brinke G, Leskelä M and Ikkala O 2007 Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates Adv. Mater. 19 102–6

[81]

Choi W B, Cheong B H, Kim J J, Chu J and Bae E 2003 Selective growth of carbon nanotubes for nanoscale transistors Adv. Funct. Mater. 13 80–4

[82]

Loo R et al 2017 Processing technologies for advanced Ge devices ECS J. Solid State Sci. Technol. 6 P14–20

[83]

Merckling C et al 2013 Selective area growth of InP in shallow trench isolation on large scale Si(001) wafer using defect confinement technique J. Appl. Phys. 114 033708

[84]

Wang G et al 2010 Selective area growth of InP in shallow-trench- isolated structures on off-axis Si(001) substrates J. Electrochem. Soc. 157 H1023–8

[85]

Schmid H, Borg M, Moselund K, Gignac L, Breslin C M, Bruley J, Cutaia D and Riel H 2015 Template-assisted selective epitaxy of Ⅲ–Ⅴ nanoscale devices for co-planar heterogeneous integration with Si Appl. Phys. Lett. 106 233101

[86]

Borg M et al 2014 Vertical Ⅲ–Ⅴ nanowire device integration on Si(100) Nano Lett. 14 1914–20

[87]

Tsubouchi K and Masu K 1993 Area-selective CVD of metals Thin Solid Films 228 312–8

[88]

Awaya N and Arita Y 1992 Double-level copper interconnections using selective copper CVD J. Electron. Mater. 21 959–64

[89]

Liu G Y, Zhao H P, Zhang J, Park J H, Mawst L J and Tansu N 2011 Selective area epitaxy of ultra-high density InGaN quantum dots by diblock copolymer lithography Nanoscale Res. Lett. 6 342

[90]

Park K J and Parsons G N 2006 Selective area atomic layer deposition of rhodium and effective work function characterization in capacitor structures Appl. Phys. Lett. 89 043111

[91]

Coffey B M, Lin E L, Chen P Y and Ekerdt J G 2019 Area-selective atomic layer deposition of crystalline BaTiO3 Chem. Mater. 31 5558–65

[92]

Färm E, Kemell M, Ritala M and Leskelä M 2008 Selective-area atomic layer deposition using poly (methyl methacrylate) films as mask layers J. Phys. Chem. C 112 15791–5

[93]

Mettry M, Hess A E, Goetting I, Arellano N, Friz A, Tek A and Wojtecki R J 2019 Extending the compositional diversity of films in area selective atomic layer deposition through chemical functionalities J. Vac. Sci. Technol. A 37 020923

[94]

Zhang Z Z, Dwyer T, Sirard S M and Ekerdt J G 2019 Area-selective atomic layer deposition of cobalt oxide to generate patterned cobalt films J. Vac. Sci. Technol. A 37 020905

[95]

Färm E, Kemell M, Santala E, Ritala M and Leskelä M 2010 Selective-area atomic layer deposition using poly (vinyl pyrrolidone) as a passivation layer J. Electrochem. Soc. 157 K10–K14

[96]

Vervuurt R H, Sharma A, Jiao Y Q, Kessels W E and Bol A A 2016 Area-selective atomic layer deposition of platinum using photosensitive polyimide Nanotechnology 27 405302

[97]

Park K S, Seo E K, Do Y R, Kim K and Sung M M 2006 Light stamping lithography: microcontact printing without inks J. Am. Chem. Soc. 128 858–65

[98]

Mullings M N, Lee H B R, Marchack N, Jiang X R, Chen Z B, Gorlin Y, Lin K-P and Bent S F 2010 Area selective atomic layer deposition by microcontact printing with a water-soluble polymer J. Electrochem. Soc. 157 D600–4

[99]

Suresh V, Huang M S, Srinivasan M P, Guan C, Fan H J and Krishnamoorthy S 2012 Robust, high-density zinc oxide nanoarrays by nanoimprint lithography-assisted area-selective atomic layer deposition J. Phys. Chem. C 116 23729–34

[100]

Haider A, Yilmaz M, Deminskyi P, Eren H and Biyikli N 2016 Nanoscale selective area atomic layer deposition of TiO2 using e-beam patterned polymers RSC Adv 6 106109–19

[101]

Hua Y M, King W P and Henderson C L 2008 Nanopatterning materials using area selective atomic layer deposition in conjunction with thermochemical surface modification via heated AFM cantilever probe lithography Microelectron. Eng. 85 934–6

[102]

Bobb-Semple D, Nardi K L, Draeger N, Hausmann D M and Bent S F 2019 Area-selective atomic layer deposition assisted by self-assembled monolayers: a comparison of Cu, Co, W, and Ru Chem. Mater. 31 1635–45

[103]

Fang M and Ho J C 2015 Area-selective atomic layer deposition: conformal coating, subnanometer thickness control, and smart positioning ACS Nano 9 8651–4

[104]

Prasittichai C, Pickrahn K L, Hashemi F S M, Bergsman D S and Bent S F 2014 Improving area-selective molecular layer deposition by selective SAM removal ACS Appl. Mater. Interfaces 6 17831–6

[105]

Closser R G, Bergsman D S, Ruelas L, Hashemi F S M and Bent S F 2017 Correcting defects in area selective molecular layer deposition J. Vac. Sci. Technol. A 35 031509

[106]

Pasquali M, De, Gendt S and Armini S 2019 Area-selective deposition by a combination of organic film passivation and atomic layer deposition ECS Trans. 92 25–32

[107]

Hashemi F S M, Birchansky B R and Bent S F 2016 Selective deposition of dielectrics: limits and advantages of alkanethiol blocking agents on metal-dielectric patterns ACS Appl. Mater. Interfaces 8 33264–72

[108]

Hashemi F S M and Bent S F 2016 Sequential regeneration of self-assembled monolayers for highly selective atomic layer deposition Adv. Mater. Interfaces 3 1600464

[109]

Lecordier L, Herregods S and Armini S 2018 Vapor-deposited octadecanethiol masking layer on copper to enable area selective Hf3N4 atomic layer deposition on dielectrics studied by in situ spectroscopic ellipsometry J. Vac. Sci. Technol. A 36 031605

[110]

Khan R et al 2018 Area-selective atomic layer deposition using Si precursors as inhibitors Chem. Mater. 30 7603–10

[111]

Zyulkov I, Krishtab M, De Gendt S and Armini S 2017 Selective Ru ALD as a catalyst for sub-seven-nanometer bottom-up metal interconnects ACS Appl. Mater. Interfaces 9 31031–41

[112]

Mameli A, Merkx M J M, Karasulu B, Roozeboom F, Kessels W M M and Mackus A J M 2017 Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycle ACS Nano 11 9303–11

[113]

Lin R Z, Cheng K Y, Pan F M and Sheu J T 2017 Selective deposition of multiple sensing materials on Si nanobelt devices through plasma-enhanced chemical vapor deposition and device-localized joule heating ACS Appl. Mater. Interfaces 9 39935–9

[114]

Kim W H et al 2016 A process for topographically selective deposition on 3D nanostructures by ion implantation ACS Nano 10 4451–8

[115]

Vincent B, Witters L, Richard O, Hikavyy A, Bender H, Loo R, Caymax M and Thean A 2012 Selective growth of strained Ge channel on relaxed SiGe buffer in shallow trench isolation for high mobility Ge planar and FIN p-FET ECS Trans. 50 39–45

[116]

Vellianitis G, Van Dal M J H, Duriez B, Lee T L, Passlack M, Wann C H and Diaz C H 2013 High crystalline quality Ge grown by MOCVD inside narrow shallow trench isolation defined on Si(001) substrates J. Cryst. Growth 383 9–11

[117]

Izumi S, Miyake T, Sakai S and Ohta H 2005 Application of three-dimensional dislocation dynamics simulation to the STI semiconductor structure Mater. Sci. Eng. A 395 62–9

[118]

Collaert N et al 2015 Ultimate nano-electronics: new materials and device concepts for scaling nano-electronics beyond the Si roadmap Microelectron. Eng. 132 218–25

[119]

Lai C C, Li L Y, Wang W L, Huang T B, Chien H J and Ying T H 2016 The improvement of MOSFET performance by the optimization of STI HDP-CVD integration process Microelectron. Eng. 149 9–13

[120]

Peddeti S, Ong P, Leunissen L H A and Babu S V 2012 Chemical mechanical planarization of germanium shallow trench isolation structures using silica-based dispersions Microelectron. Eng. 93 61–6

[121]

Eneman G et al 2010 P+/n junction leakage in thin selectively grown Ge-in-STI substrates Thin Solid Films 518 2489–92

[122]

Wang G et al 2010 Fabrication of high quality Ge virtual substrates by selective epitaxial growth in shallow trench isolated Si (001) trenches Thin Solid Films 518 2538–41

[123]

Wang G, Rosseel E, Loo R, Favia P, Bender H, Caymax M, Heyns M M and Vandervorst W 2010 Ge instability and the growth of Ge epitaxial layers in nanochannels on patterned Si (001) substrates J. Appl. Phys. 108 123517

[124]

Hertenberger S, Rudolph D, Bichler M, Finley J J, Abstreiter G and Koblmüller G 2010 Growth kinetics in position-controlled and catalyst-free InAs nanowire arrays on Si(111) grown by selective area molecular beam epitaxy J. Appl. Phys. 108 114316

[125]
Lee S et al 2018 High performance InGaAs gate-all-around nanosheet FET on Si using template assisted selective epitaxy Proc. 2018 IEEE Int. Electron Devices Meeting (San Francisco, CA: IEEE) pp 39.5.1–4
[126]
Kotani H, Tsutsumi T, Komori J and Nagao S 1987 A highly reliable selective CVD-W utilizing SiH4 reduction for VLSI contacts Proc. Int. Electron Devices Meeting (Washington, DC: IEEE) pp 217–20
[127]
Zheng J F et al 2015 Selective Co growth on Cu for void-free via fill Proc. IEEE Int. Interconnect Technology Conf. and 2015 IEEE Materials for Advanced Metallization Conf. (Grenoble: IEEE) pp 265–8
[128]
Jiang Y et al 2016 Development of electroless Co via-prefill to enable advanced BEOL metallization and via resistance reduction Proc. 2016 IEEE Int. Interconnect Technology Conf./Advanced Metallization Conf. (San Jose, CA: IEEE) pp 111–3
[129]
Van Der Veen M H et al 2015 Cobalt bottom-up contact and via prefill enabling advanced logic and DRAM technologies Proc. 2015 IEEE Int. Interconnect Technology Conf. and 2015 IEEE Materials for Advanced Metallization Conf. (Grenoble: IEEE) pp 25–8
[130]

Gladfelter W L 1993 Selective metalization by chemical vapor deposition Chem. Mater. 5 1372–88

[131]

Pujari S P, Scheres L, Marcelis A T M and Zuilhof H 2014 Covalent surface modification of oxide surfaces Angew. Chem. Int. Ed. 53 6322–56

[132]

Gates B D, Xu Q B, Stewart M, Ryan D, Willson C G and Whitesides G M 2005 New approaches to nanofabrication: molding, printing, and other techniques Chem. Rev. 105 1171–96

[133]

Gates B D 2005 Nanofabrication with molds & stamps Mater. Today 8 44–9

[134]

Guo L, Qin X D and Zaera F 2016 Chemical treatment of low-k dielectric surfaces for patterning of thin solid films in microelectronic applications ACS Appl. Mater. Interfaces 8 6293–300

[135]

Ballav N, Schilp S and Zharnikov M 2008 Electron-beam chemical lithography with aliphatic self-assembled monolayers Angew. Chem. Int. Ed. 47 1421–4

[136]

Turchanin A, Schnietz M, El-Desawy M, Solak H H, David C and Gölzhäuser A 2007 Fabrication of molecular nanotemplates in self-assembled monolayers by extreme-ultraviolet-induced chemical lithography Small 3 2114–9

[137]

Lee W, Dasgupta N P, Trejo O, Lee J R, Hwang J, Usui T and Prinz F B 2010 Area-selective atomic layer deposition of lead sulfide: nanoscale patterning and DFT simulations Langmuir 26 6845–52

[138]
Lee H B R and Bent S F 2012 Nanopatterning by area-selective atomic layer deposition Atomic Layer Deposition of Nanostructured Materials ed N Pinna and M Knez (Chichester: Wiley) pp 193–225
[139]

Chen R and Bent S F 2006 Chemistry for positive pattern transfer using area-selective atomic layer deposition Adv. Mater. 18 1086–90

[140]

Minaye Hashemi F S, Prasittichai C and Bent S F 2015 Self-correcting process for high quality patterning by atomic layer deposition ACS Nano 9 8710–7

[141]

Spampinato V, Armini S, Franquet A, Conard T, van der Heide P and Vandervorst W 2019 Self-focusing SIMS: a metrology solution to area selective deposition Appl. Surf. Sci. 476 594–9

[142]

Liu X, Zhu Q Q, Lang Y, Cao K, Chu S Q, Shan B and Chen R 2017 Oxide-nanotrap-anchored platinum nanoparticles with high activity and sintering resistance by area-selective atomic layer deposition Angew. Chem. Int. Ed. 56 1648–52

[143]

Huang J, Lee M, Lucero A, Cheng L X and Kim J 2014 Area-selective ALD of TiO2 nanolines with electron-beam lithography J. Phys. Chem. C 118 23306–12

[144]

Jang J W, Maspoch D, Fujigaya T and Mirkin C A 2007 A ‘molecular eraser’ for dip-pen nanolithography Small 3 600–5

[145]

Chockalingam M, Darwish N, Le Saux G and Gooding J J 2011 Importance of the indium tin oxide substrate on the quality of self-assembled monolayers formed from organophosphonic acids Langmuir 27 2545–52

[146]

Chen R, Kim H, McIntyre P C, Porter D W and Bent S F 2005 Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification Appl. Phys. Lett. 86 191910

[147]

Chen R, Kim H, McIntyre P C and Bent S F 2004 Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-κ gate dielectrics Appl. Phys. Lett. 84 4017–9

[148]

Sampson M D, Emery J D, Pellin M J and Martinson A B F 2017 Inhibiting metal oxide atomic layer deposition: beyond zinc oxide ACS Appl. Mater. Interfaces 9 33429–36

[149]

Avila J R, DeMarco E J, Emery J D, Farha O K, Pellin M J, Hupp J T and Martinson A B F 2014 Real-time observation of atomic layer deposition inhibition: metal oxide growth on self-assembled alkanethiols ACS Appl. Mater. Interfaces 6 11891–8

[150]

Cao K, Zhu Q Q, Shan B and Chen R 2015 Controlled synthesis of Pd/Pt core shell nanoparticles using area-selective atomic layer deposition Sci. Rep. 5 8470

[151]

Lee H B R, Mullings M N, Jiang X R, Clemens B M and Bent S F 2012 Nucleation-controlled growth of nanoparticles by atomic layer deposition Chem. Mater. 24 4051–9

[152]

Kalanyan B, Lemaire P C, Atanasov S E, Ritz M J and Parsons G N 2016 Using hydrogen to expand the inherent substrate selectivity window during tungsten atomic layer deposition Chem. Mater. 28 117–26

[153]

Stevens E, Tomczak Y, Chan B T, Sanchez E A, Parsons G N and Delabie A 2018 Area-selective atomic layer deposition of TiN, TiO2, and HfO2 on silicon nitride with inhibition on amorphous carbon Chem. Mater. 30 3223–32

[154]

Lu J L and Elam J W 2015 Low temperature ABC-type Ru atomic layer deposition through consecutive dissociative chemisorption, combustion, and reduction steps Chem. Mater. 27 4950–6

[155]

Yanguas-Gil A, Libera J A and Elam J W 2013 Modulation of the growth per cycle in atomic layer deposition using reversible surface functionalization Chem. Mater. 25 4849–60

[156]

George S M and Lee Y 2016 Prospects for thermal atomic layer etching using sequential, self-limiting fluorination and ligand-exchange reactions ACS Nano 10 4889–94

[157]

Song S K, Saare H and Parsons G N 2019 Integrated isothermal atomic layer deposition/atomic layer etching supercycles for area-selective deposition of TiO2 Chem. Mater. 31 4793–804

[158]

Vos M F J, Chopra S N, Verheijen M A, Ekerdt J G, Agarwal S, Kessels W M M and Mackus A J M 2019 Area-selective deposition of ruthenium by combining atomic layer deposition and selective etching Chem. Mater. 31 3878–82

[159]

Minjauw M M, Rijckaert H, Van Driessche I, Detavernier C and Dendooven J 2019 Nucleation enhancement and area-selective atomic layer deposition of ruthenium using RuO4 and H2 gas Chem. Mater. 31 1491–9

[160]

Tao Q, Jursich G and Takoudis C 2010 Selective atomic layer deposition of HfO2 on copper patterned silicon substrates Appl. Phys. Lett. 96 192105

[161]

Singh J A, Thissen N F W, Kim W H, Johnson H, Kessels W M M, Bol A A, Bent S F and Mackus A J M 2018 Area-selective atomic layer deposition of metal oxides on noble metals through catalytic oxygen activation Chem. Mater. 30 663–70

[162]

Soethoudt J, Hody H, Spampinato V, Franquet A, Briggs B, Chan B T and Delabie A 2019 Defect mitigation in area-selective atomic layer deposition of ruthenium on titanium nitride/dielectric nanopatterns Adv. Mater. Interfaces 6 1900896

[163]

Mackus A J M, Dielissen S A F, Mulders J J L and Kessels W M M 2012 Nanopatterning by direct-write atomic layer deposition Nanoscale 4 4477–80

[164]

Mameli A, Karasulu B, Verheijen M A, Barcones B, Macco B, Mackus A J M, Kessels W M M and Roozeboom F 2019 Area-selective atomic layer deposition of ZnO by area activation using electron beam-induced deposition Chem. Mater. 31 1250–7

[165]

De Melo C et al 2018 Local structure and point-defect-dependent area-selective atomic layer deposition approach for facile synthesis of p-Cu2O/n-ZnO segmented nanojunctions ACS Appl. Mater. Interfaces 10 37671–8

[166]

Kim K et al 2014 Selective metal deposition at graphene line defects by atomic layer deposition Nat. Commun. 5 4781

[167]

Kim M, Kim K J, Lee S J, Kim H M, Cho S Y, Kim M-S, Kim S-H and Kim K-B 2017 Highly stable and effective doping of graphene by selective atomic layer deposition of ruthenium ACS Appl. Mater. Interfaces 9 701–9

[168]

Kim M, Nabeya S, Nandi D K, Suzuki K, Kim H M, Cho S Y, Kim K B and Kim S-H 2019 Atomic layer deposition of nickel using a heteroleptic Ni precursor with NH3 and selective deposition on defects of graphene ACS Omega 4 11126–34

[169]

Kempa T J, Kim S K, Day R W, Park H G, Nocera D G and Lieber C M 2013 Facet-selective growth on nanowires yields multi-component nanostructures and photonic devices J. Am. Chem. Soc. 135 18354–7

[170]

Xie J, Yao X H, Madden I P, Jiang D E, Chou L Y, Tsung C-K and Wang D 2014 Selective deposition of Ru nanoparticles on TiSi2 nanonet and its utilization for Li2O2 formation and decomposition J. Am. Chem. Soc. 136 8903–6

[171]

Cheng N C, Banis M N, Liu J, Riese A, Li X, Li R, Ye S, Knights S and Sun X 2015 Extremely stable platinum nanoparticles encapsulated in a zirconia nanocage by area-selective atomic layer deposition for the oxygen reduction reaction Adv. Mater. 27 277–81

[172]

Weber M J, Verheijen M A, Bol A A and Kessels W M 2015 Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition Nanotechnology 26 094002

[173]

Fan F J et al 2017 Continuous-wave lasing in colloidal quantum dot solids enabled by facet-selective epitaxy Nature 544 75–9

[174]

Zhang Z W, Ahn J, Kim J, Wu Z Y and Qin D 2018 Facet-selective deposition of Au and Pt on Ag nanocubes for the fabrication of bifunctional Ag@Au–Pt nanocubes and trimetallic nanoboxes Nanoscale 10 8642–9

[175]

Song C Y, Sun Y Z, Li J X, Dong C, Zhang J J, Jiang X and Wang L 2019 Silver-mediated temperature-controlled selective deposition of Pt on hexoctahedral Au nanoparticles and the high performance of Au@AgPt NPs in catalysis and SERS Nanoscale 11 18881–93

[176]

Cao K, Shi L, Gong M, Cai J M, Liu X, Chu S, Lang Y, Shan B and Chen R 2017 Nanofence stabilized platinum nanoparticles catalyst via facet-selective atomic layer deposition Small 13 1700648

[177]

Yan H, Cheng H, Yi H, Lin Y, Yao T, Wang C, Li J, Wei S and Lu J 2015 Single-atom Pd1/graphene catalyst achieved by atomic layer deposition: remarkable performance in selective hydrogenation of 1,3-butadiene J. Am. Chem. Soc. 137 10484–7

[178]

Yan H et al 2017 Bottom-up precise synthesis of stable platinum dimers on graphene Nat. Commun. 8 1070

[179]

Mol J A, Verduijn J, Levine R D, Remacle F and Rogge S 2011 Integrated logic circuits using single-atom transistors Proc. Natl Acad. Sci. USA 108 13969–72

[180]

Fuechsle M, Miwa J A, Mahapatra S, Ryu H, Lee S, Warschkow O, Hollenberg L C L, Klimeck G and Simmons M Y 2012 A single-atom transistor Nat. Nanotechnol. 7 242–6

[181]

Flynn C J et al 2016 Site-selective passivation of defects in NiO solar photocathodes by targeted atomic deposition ACS Appl. Mater. Interfaces 8 4754–61

[182]

Mameli A, Kuang Y H, Aghaee M, Ande C K, Karasulu B, Creatore M, Mackus A J M, Kessels W M M and Roozeboom F 2017 Area-selective atomic layer deposition of In2O3:H using a μ-plasma printer for local area activation Chem. Mater. 29 921–5

[183]

McDonnell S et al 2013 Controlling the atomic layer deposition of titanium dioxide on silicon: dependence on surface termination J. Phys. Chem. C 117 20250–9

[184]

Lu J L, Low K B, Lei Y, Libera J A, Nicholls A, Stair P C and Elam J W 2014 Toward atomically-precise synthesis of supported bimetallic nanoparticles using atomic layer deposition Nat. Commun. 5 3264

[185]

Weber M J, Mackus A J M, Verheijen M A, Van Der Marel C and Kessels W M M 2012 Supported core/shell bimetallic nanoparticles synthesis by atomic layer deposition Chem. Mater. 24 2973–7

[186]

Mackus A J M, Thissen N F W, Mulders J J L, Trompenaars P H F, Verheijen M A, Bol A A and Kessels W M M 2013 Direct-write atomic layer deposition of high-quality Pt nanostructures: selective growth conditions and seed layer requirements J. Phys. Chem. C 117 10788–98

[187]

Mackus A J M, Thissen N F W, Mulders J J L, Trompenaars P H F, Chen Z H, Kessels W M M and Bol A A 2017 Resist-free fabricated carbon nanotube field-effect transistors with high-quality atomic-layer-deposited platinum contacts Appl. Phys. Lett. 110 013101

[188]

Van Dorp W F and Hagen C W 2008 A critical literature review of focused electron beam induced deposition J. Appl. Phys. 104 081301

[189]

Carlsson J O 1990 Selective vapor-phase deposition on patterned substrates Crit. Rev. Solid State Mater. Sci. 16 161–212

[190]

Schwierz F 2010 Graphene transistors Nat. Nanotechnol. 5 487–96

[191]

Liu X F et al 2013 Top-down fabrication of sub-nanometre semiconducting nanoribbons derived from molybdenum disulfide sheets Nat. Commun. 4 1776

[192]

Novoselov K S, Mishchenko A, Carvalho A and Castro Neto A H 2016 2D materials and van der Waals heterostructures Science 353 aac9439

[193]

Gupta A, Sakthivel T and Seal S 2015 Recent development in 2D materials beyond graphene Prog. Mater. Sci. 73 44–126

[194]

Huang Y Z and Liu L 2019 Recent progress in atomic layer deposition of molybdenum disulfide: a mini review Sci. China Mater. 62 913–24

[195]

Wang F D, Wang Y Y, Liu Y H, Morrison P J, Loomis R A and Buhro W E 2015 Two-dimensional semiconductor nanocrystals: properties, templated formation, and magic-size nanocluster intermediates Acc. Chem. Res. 48 13–21

[196]

Park K S et al 2015 Wafer-scale single-domain-like graphene by defect-selective atomic layer deposition of hexagonal ZnO Nanoscale 7 17702–9

[197]

Lee H B, Baeck S H, Jaramillo T F and Bent S F 2013 Growth of Pt nanowires by atomic layer deposition on highly ordered pyrolytic graphite Nano Lett. 13 457–63

[198]

Xu T, Xie X, Yin K B, Sun J, He L B and Sun L 2014 Controllable atomic-scale sculpting and deposition of carbon nanostructures on graphene Small 10 1724–8

[199]

Sun D C, Yang W Y, Zhou L, Sun W Z, Li Q and Shang J K 2016 The selective deposition of silver nanoparticles onto {1 0 1} facets of TiO2 nanocrystals with co-exposed {0 0 1}/{1 0 1} facets, and their enhanced photocatalytic reduction of aqueous nitrate under simulated solar illumination Appl. Catal. B 182 85–93

[200]

Hu X L, Lu S C, Tian J, Wei N, Song X J, Wang X and Cui H 2019 The selective deposition of MoS2 nanosheets onto (101) facets of TiO2 nanosheets with exposed (001) facets and their enhanced photocatalytic H2 production Appl. Catal. B 241 329–37

[201]

Ahn J, Wang D, Ding Y, Zhang J W and Qin D 2018 Site-selective carving and Co-deposition: transformation of Ag nanocubes into concave nanocrystals encased by Au–Ag alloy frames ACS Nano 12 298–307

[202]

Wang C L, Wang H W, Yao Q, Yan H, Li J J and Lu J 2016 Precisely applying TiO2 overcoat on supported au catalysts using atomic layer deposition for understanding the reaction mechanism and improved activity in CO oxidation J. Phys. Chem. C 120 478–86

[203]

Cao K, Cai J M, Liu X and Chen R 2018 Review article: catalysts design and synthesis via selective atomic layer deposition J. Vac. Sci. Technol. A 36 010801

[204]

Wen Y W, Cai J M, Zhang J, Yang J Q, Shi L, Cao K, Chen R and Shan B 2019 Edge-selective growth of MCp2 (M = Fe, Co, and Ni) precursors on Pt nanoparticles in atomic layer deposition: a combined theoretical and experimental study Chem. Mater. 31 101–11

[205]

Hu Q M, Wang S, Gao Z, Li Y Q, Zhang Q, Xiang Q and Qin Y 2017 The precise decoration of Pt nanoparticles with Fe oxide by atomic layer deposition for the selective hydrogenation of cinnamaldehyde Appl. Catal. B 218 591–9

[206]

Cai J M, Zhang J, Cao K, Gong M, Lang Y, Liu X, Chu S, Shan B and Chen R 2018 Selective passivation of Pt nanoparticles with enhanced sintering resistance and activity toward CO oxidation via atomic layer deposition ACS Appl. Nano Mater. 1 522–30

[207]

Xiang Q Y, Zhou B Z, Cao K, Wen Y W, Li Y, Wang Z, Jiang C, Shan B and Chen R 2018 Bottom up stabilization of CsPbBr3 quantum dots-silica sphere with selective surface passivation via atomic layer deposition Chem. Mater. 30 8486–94

[208]

Odobel F, Pellegrin Y, Gibson E A, Hagfeldt A, Smeigh A L and Hammarström L 2012 Recent advances and future directions to optimize the performances of p-type dye-sensitized solar cells Coord. Chem. Rev. 256 2414–23

[209]

Petta J R 2017 Atom-by-atom construction of a quantum device ACS Nano 11 2382–6

[210]

Sugimoto Y, Abe M, Hirayama S, Oyabu N, Custance Ó and Morita S 2005 Atom inlays performed at room temperature using atomic force microscopy Nat. Mater. 4 156–9

[211]

Eigler D M and Schweizer E K 1990 Positioning single atoms with a scanning tunnelling microscope Nature 344 524–6

[212]

Susi T et al 2014 Silicon-carbon bond inversions driven by 60-keV electrons in graphene Phys. Rev. Lett. 113 115501

[213]

Muñoz-Rojas D, Maindron T, Esteve A, Piallat F, Kools J C S and Decams J M 2019 Speeding up the unique assets of atomic layer deposition Mater. Today Chem. 12 96–120

[214]

Faber H and Anthopoulos T D 2019 Adding a new layer to ‘more than Moore’ Nat. Electron. 2 497–8

International Journal of Extreme Manufacturing
Pages 022002-022002
Cite this article:
Chen R, Li Y-C, Cai J-M, et al. Atomic level deposition to extend Moore’s law and beyond. International Journal of Extreme Manufacturing, 2020, 2(2): 022002. https://doi.org/10.1088/2631-7990/ab83e0
Metrics & Citations  
Article History
Copyright
Rights and Permissions
Return