AI Chat Paper
Note: Please note that the following content is generated by AMiner AI. SciOpen does not take any responsibility related to this content.
{{lang === 'zh_CN' ? '文章概述' : 'Summary'}}
{{lang === 'en_US' ? '中' : 'Eng'}}
Chat more with AI
PDF (14.6 MB)
Collect
Submit Manuscript AI Chat Paper
Show Outline
Outline
Show full outline
Hide outline
Outline
Show full outline
Hide outline
Topical Review | Open Access

Directed self-assembly of block copolymers for sub-10 nm fabrication

Yu ChenShisheng Xiong 
School of Information Science and Technology, Fudan University, Shanghai, People’s Republic of China
Show Author Information

Abstract

Directed self-assembly (DSA) emerges as one of the most promising new patterning techniques for single digit miniaturization and next generation lithography. DSA achieves high-resolution patterning by molecular assembly that circumvents the diffraction limit of conventional photolithography. Recently, the International Roadmap for Devices and Systems listed DSA as one of the advanced lithography techniques for the fabrication of 3–5 nm technology node devices. DSA can be combined with other lithography techniques, such as extreme ultra violet (EUV) and 193 nm immersion (193i), to further enhance the patterning resolution and the device density. So far, DSA has demonstrated its superior ability for the fabrication of nanoscale devices, such as fin field effect transistor and bit pattern media, offering a variety of configurations for high-density integration and low-cost manufacturing. Over 1 T in−2 device density can be achieved either by direct templating or coupled with nanoimprinting to improve the throughput. The development of high χ block copolymer further enhances the patterning resolution of DSA. In addition to its superiority in high-resolution patterning, the implementation of DSA on a 300 mm pivot line fully demonstrates its potential for large-scale, high-throughput, and cost-effective manufacturing in industrial environment.

References

[1]
Semiconductor Industry Association 2019 2015 International technology roadmap for semiconductors (ITRS) (www.semiconductors.org/resources/2015-internationaltechnology-roadmap-for-semiconductors-itrs/)
[2]

Wu B Q and Kumar A 2014 Extreme ultraviolet lithography and three dimensional integrated circuit—a review Appl. Phys. Rev. 1 011104

[3]

Wack D C et al 2008 Opportunities and challenges for optical CD metrology in double patterning process control Proc. SPIE 6922 69221N

[4]

Chen Y F 2015 Nanofabrication by electron beam lithography and its applications: a review Microelectron. Eng. 135 57–72

[5]

Wei Y Y, Zhao C and Ye T C 2014 Analysis of mix-and-match litho approach for manufacturing 20NM logic-node products Proc. SPIE 9049 90491Y

[6]

Bates F S and Fredrickson G H 1999 Block copolymers—designer soft materials Phys. Today 52 32–38

[7]

Li W H and Müller M 2016 Directed self-assembly of block copolymers by chemical or topographical guiding patterns: optimizing molecular architecture, thin-film properties, and kinetics Prog. Polym. Sci. 54–5 47–75

[8]
IRDS 2019 IEEE international roadmap for devices and systems 2017 edition (https://irds.ieee.org/roadmap-2017)
[9]

Mansky P, Haikin P and Thomas E L 1995 Monolayer films of diblock copolymer microdomains for nanolithographic applications J. Mater. Sci. 30 1987–92

[10]

Mansky P, Russell T P, Hawker C J, Pitsikalis M and Mays J 1997 Ordered diblock copolymer films on random copolymer brushes Macromolecules 30 6810–3

[11]

Segalman R A, Yokoyama H and Kramer E J 2001 Graphoepitaxy of spherical domain block copolymer films Adv. Mater. 13 1152–5

[12]

Kim S O, Solak H H, Stoykovich M P, Ferrier N J, de Pablo J and Nealey P F 2003 Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates Nature 424 411–4

[13]

Jeong S J, Kim J Y, Kim B H, Moon H S and Kim S O 2013 Directed self-assembly of block copolymers for next generation nanolithography Mater. Today 16 468–76

[14]

Sinturel C, Bates F S and Hillmyer M A 2015 High χ–low N block polymers: how far can we go? ACS Macro Lett. 4 1044–50

[15]

Xiong S S, Wan L, Ishida Y, Chapuis Y A, Craig G S W, Ruiz R and Nealey P F 2016 Directed self-assembly of triblock copolymer on chemical patterns for sub-10-nm nanofabrication via solvent annealing ACS Nano 10 7855–65

[16]

Yang G W and Wu G P 2019 High-efficiency construction of CO2-based healable thermoplastic elastomers via a tandem synthetic strategy ACS Sustain. Chem. Eng. 7 1372–80

[17]

Cummins C, Kelly R A, Gangnaik A, Georgiev Y M, Petkov N, Holmes J D and Morris M A 2015 Solvent vapor annealing of block copolymers in confined topographies: commensurability considerations for nanolithography Macromol. Rapid Commun. 36 762–7

[18]

Kim E, Park S, Han Y S and Kim T H 2018 Effect of solvent selectivity on supramolecular assemblies of block copolymer by solvent-vapor annealing Polymer 150 214–22

[19]

Rasappa S, Hulkkonen H, Schulte L, Ndoni S, Reuna J, Salminen T and Niemi T 2019 High molecular weight block copolymer lithography for nanofabrication of hard mask and photonic nanostructures J. Colloid Interface Sci. 534 420–9

[20]

Maher M J et al 2014 Interfacial design for block copolymer thin films Chem. Mater. 26 1471–9

[21]

Jin C, Murphy J N, Harris K D and Buriak J M 2014 Deconvoluting the mechanism of microwave annealing of block copolymer thin films ACS Nano 8 3979–91

[22]

Rincon Delgadillo P A et al 2012 All track directed self-assembly of block copolymers: process flow and origin of defects Proc. SPIE 8323 83230D

[23]

Rathsack B et al 2013 Advances in directed self assembly integration and manufacturability at 300 mm Proc. SPIE 8682 86820K

[24]

Hirahara E et al 2016 Directed self-assembly materials for high resolution beyond PS-b-PMMA J. Photopolym. Sci. Technol. 29 679–84

[25]

Wan L, Ruiz R, Gao H, Patel K C, Albrecht T R, Yin J, Kim J, Cao Y and Lin G 2015 The limits of lamellae-forming PS-b-PMMA block copolymers for lithography ACS Nano 9 7506–14

[26]

Zhang J Q et al 2014 New materials for directed self-assembly for advanced patterning Proc. SPIE 9051 905111

[27]

Kihara N et al 2015 Sub-10-nm patterning process using directed self-assembly with high χ block copolymers J. Micro/Nanolith. Microfab. Microsyst. 14 023502

[28]

Vora A, Chunder A, Tjio M, Magbitang T, Lofano E, Arellano N, Schmidt K, Nguyen K, Cheng J and Sanders D P 2015 Synthesis and characterization of polycarbonate-containing all-organic high-χ block copolymers for directed self-assembly J. Photopolym. Sci. Technol. 28 659–62

[29]

Vora A, Alva G, Chunder A, Schmidt K, Magbitang T, Lofano E, Arellano N, Cheng J and Sanders D P 2016 Synthesis and thin-film self-assembly of cylinder-forming high-χ block copolymers J. Photopolym. Sci. Technol. 29 685–8

[30]

Vora A, Wojtecki R J, Schmidt K, Chunder A, Cheng J Y, Nelson A and Sanders D P 2016 Development of polycarbonate-containing block copolymers for thin film self-assembly applications Polym. Chem. 7 940–50

[31]

Zhang X S, He Q B, Chen Q, Nealey P F and Ji S X 2018 Directed self-assembly of high χ poly(styrene-b-(lactic acid-alt-glycolic acid)) block copolymers on chemical patterns via thermal annealing ACS Macro Lett. 7 751–6

[32]

Jo S, Jeon S, Jun T, Park C and Ryu D Y 2018 Fluorine-containing styrenic block copolymers toward high χ and perpendicular lamellae in thin films Macromolecules 51 7152–9

[33]

Lane A P, Yang X M, Maher M J, Blachut G, Asano Y, Someya Y, Mallavarapu A, Sirard S M, Ellison C J and Willson C G 2017 Directed self-assembly and pattern transfer of five nanometer block copolymer lamellae ACS Nano 11 7656–65

[34]

Pang Y Y, Jin X S, Huang G C, Wan L and Ji S X 2019 Directed self-assembly of styrene-methyl acrylate block copolymers with sub-7 nm features via thermal annealing Macromolecules 52 2987–94

[35]

Son J G, Hannon A F, Gotrik K W, Alexander-Katz A and Ross C A 2011 Hierarchical nanostructures by sequential self-assembly of styrene-dimethylsiloxane block copolymers of different periods Adv. Mater. 23 634–9

[36]

Cheng L C, Bai W B, Fernandez Martin E, Tu K H, Ntetsikas K, Liontos G, Avgeropoulos A and Ross C A 2017 Morphology, directed self-assembly and pattern transfer from a high molecular weight polystyrene-block-poly(dimethylsiloxane) block copolymer film Nanotechnology 28 145301

[37]

Jeong J W, Park W I, Kim M J, Ross C A and Jung Y S 2011 Highly tunable self-assembled nanostructures from a poly(2-vinylpyridine-b-dimethylsiloxane) block copolymer Nano Lett. 11 4095–101

[38]

Azuma K, Sun J, Choo Y, Rokhlenko Y, Dwyer J H, Schweitzer B, Hayakawa T, Osuji C O and Gopalan P 2018 Self-assembly of an ultrahigh-χ block copolymer with versatile etch selectivity Macromolecules 51 6460–7

[39]

Maher M J, Rettner C T, Bates C M, Blachut G, Carlson M C, Durand W J, Ellison C J, Sanders D P, Cheng J Y and Willson C G 2015 Directed self-assembly of silicon-containing block copolymer thin films ACS Appl. Mater. Interfaces 7 3323–8

[40]

Nakatani R et al 2017 Perpendicular orientation control without interfacial treatment of RAFT-synthesized high-χ block copolymer thin films with sub-10 nm features prepared via thermal annealing ACS Appl. Mater. Interfaces 9 31266–78

[41]

Kim S H, Misner M J, Xu T, Kimura M and Russell T P 2004 Highly oriented and ordered arrays from block copolymers via solvent evaporation Adv. Mater. 16 226–31

[42]

Jung Y S and Ross C A 2009 Solvent-vapor-induced tunability of self-assembled block copolymer patterns Adv. Mater. 21 2540–5

[43]

Lundy R, Flynn S P, Cummins C, Kelleher S M, Collins M N, Dalton E, Daniels S, Morris M A and Enright R 2017 Controlled solvent vapor annealing of a high χ block copolymer thin film Phys. Chem. Chem. Phys. 19 2805–15

[44]

Nelson G, Drapes C S, Grant M A, Gnabasik R, Wong J and Baruth A 2018 High-precision solvent vapor annealing for block copolymer thin films Micromachines 9 271

[45]

Bai W, Yager K G and Ross C A 2015 In situ characterization of the self-assembly of a polystyrene–polydimethylsiloxane block copolymer during solvent vapor annealing Macromolecules 48 8574–84

[46]

Park S, Lee D H, Xu J, Kim B, Hong S W, Jeong U, Xu T and Russell T P 2009 Macroscopic 10-terabit–per–square-inch arrays from block copolymers with lateral order Science 323 1030–3

[47]

Tada Y, Yoshida H, Ishida Y, Hirai T, Bosworth J K, Dobisz E, Ruiz R, Takenaka M, Hayakawa T and Hasegawa H 2012 Directed self-assembly of POSS containing block copolymer on lithographically defined chemical template with morphology control by solvent vapor Macromolecules 45 292–304

[48]

Bosworth J K, Dobisz E and Ruiz R 2010 20 nm pitch directed block copolymer assembly using solvent annealing for bit patterned media J. Photopolym. Sci. Technol. 23 145–8

[49]

Chavis M A, Smilgies D M, Wiesner U B and Ober C K 2015 Widely tunable morphologies in block copolymer thin films through solvent vapor annealing using mixtures of selective solvents Adv. Funct. Mater. 25 3057–65

[50]

Sun Z W et al 2015 Directed self-assembly of poly(2-vinylpyridine)-b-polystyrene-b-poly(2-vinylpyridine) triblock copolymer with sub-15 nm spacing line patterns using a nanoimprinted photoresist template Adv. Mater. 27 4364–70

[51]

Xiong S S, Li D X, Hur S M, Craig G S W, Arges C G, Qu X-P and Nealey P F 2018 The solvent distribution effect on the self-assembly of symmetric triblock copolymers during solvent vapor annealing Macromolecules 51 7145–51

[52]

Seshimo T, Bates C M, Dean L M, Cushen J D, Durand W J, Maher M J, Ellison C J and Willson C G 2012 Block copolymer orientation control using a top-coat surface treatment J. Photopolym. Sci. Technol. 25 125–30

[53]

Bates C M, Seshimo T, Maher M J, Durand W J, Cushen J D, Dean L M, Blachut G, Ellison C J and Willson C G 2012 Polarity-switching top coats enable orientation of sub–10-nm block copolymer domains Science 338 775–9

[54]

Suh H S, Kim D H, Moni P, Xiong S S, Ocola L E, Zaluzec N J, Gleason K K and Nealey P F 2017 Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat Nat. Nanotechnol. 12 575–81

[55]

Jiang J, Jacobs A G, Wenning B, Liedel C, Thompson M O and Ober C K 2017 Ultrafast self-assembly of sub-10 nm block copolymer nanostructures by solvent-free high-temperature laser annealing ACS Appl. Mater. Interfaces 9 31317–24

[56]

Borah D, Senthamaraikannan R, Rasappa S, Kosmala B, Holmes J D and Morris M A 2013 Swift nanopattern formation of PS-b-PMMA and PS-b-PDMS block copolymer films using a microwave assisted technique ACS Nano 7 6583–96

[57]

Son J G, Chang J B, Berggren K K and Ross C A 2011 Assembly of sub-10-nm block copolymer patterns with mixed morphology and period using electron irradiation and solvent annealing Nano Lett. 11 5079–84

[58]

Mickiewicz R A, Yang J K W, Hannon A F, Jung Y S, Alexander-Katz A, Berggren K K and Ross C A 2010 Enhancing the potential of block copolymer lithography with polymer self-consistent field theory simulations Macromolecules 43 8290–5

[59]

Li W H and Müller M 2016 Thermodynamics and kinetics of defect motion and annihilation in the self-assembly of lamellar diblock copolymers Macromolecules 49 6126–38

[60]

Khaira G S, Qin J, Garner G P, Xiong S S, Wan L, Ruiz R, Jaeger H M, Nealey P F and de Pablo J J 2014 Evolutionary optimization of directed self-assembly of triblock copolymers on chemically patterned substrates ACS Macro Lett 3 747–52

[61]

Liu C C et al 2013 Chemical patterns for directed self-assembly of lamellae-forming block copolymers with density multiplication of features Macromolecules 46 1415–24

[62]

Hur S M, Khaira G S, Ramírez-Hernández A, Müller M, Nealey P F and de Pablo J J 2015 Simulation of defect reduction in block copolymer thin films by solvent annealing ACS Macro Lett. 4 11–5

[63]

Jeong U, Kim H C, Rodriguez R L, Tsai I Y, Stafford C M, Kim J K, Hawker C J and Russell T P 2002 Asymmetric block copolymers with homopolymers: routes to multiple length scale nanostructures Adv. Mater. 14 274–6

[64]

Shin K, Amanda Leach K, Goldbach J T, Kim D H, Young Jho J, Tuominen M, Hawker C J and Russell T P 2002 A simple route to metal nanodots and nanoporous metal films Nano Lett. 2 933–6

[65]

Peng Q, Tseng Y C, Darling S B and Elam J W 2010 Nanoscopic patterned materials with tunable dimensions via atomic layer deposition on block copolymers Adv. Mater. 22 5129–33

[66]

Gu X D, Gunkel I and Russell T P 2013 Pattern transfer using block copolymers Philos. Trans. R. Soc. A 371 20120306

[67]

Jung Y S and Ross C A 2007 Orientation-controlled self-assembled nanolithography using a polystyrene−polydimethylsiloxane block copolymer Nano Lett. 7 2046–50

[68]

Aissou K, Mumtaz M, Fleury G, Portale G, Navarro C, Cloutet E, Brochon C, Ross C A and Hadziioannou G 2015 Sub-10 nm features obtained from directed self-assembly of semicrystalline polycarbosilane-based block copolymer thin films Adv. Mater. 27 261–5

[69]

Tu K H, Bai W B, Liontos G, Ntetsikas K, Avgeropoulos A and Ross C A 2015 Universal pattern transfer methods for metal nanostructures by block copolymer lithography Nanotechnology 26 375301

[70]

Lammertink R G H, Hempenius M A, Chan V Z H, Thomas E L and Vancso G J 2001 Poly(ferrocenyldimethylsilanes) for reactive ion etch barrier applications Chem. Mater. 13 429–34

[71]

Flynn S P et al 2018 Nitrogen reactive ion etch processes for the selective removal of poly-(4-vinylpyridine) in block copolymer films Nanotechnology 29 355302

[72]

Tseng Y C, Peng Q, Ocola L E, Elam J W and Darling S B 2011 Enhanced block copolymer lithography using sequential infiltration synthesis J. Phys. Chem. C 115 17725–9

[73]

Peng Q, Tseng Y C, Darling S B and Elam J W 2011 A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates ACS Nano 5 4600–6

[74]

Yang G W, Wu G P, Chen X X, Xiong S S, Arges C G, Ji S, Nealey P F, Lu X-B, Darensbourg D J and Xu Z-K 2017 Directed self-assembly of polystyrene-b-poly(propylene carbonate) on chemical patterns via thermal annealing for next generation lithography Nano Lett. 17 1233–9

[75]

Ishchenko O M, Krishnamoorthy S, Valle N, Guillot J, Turek P, Fechete I and Lenoble D 2016 Investigating sequential vapor infiltration synthesis on block-copolymer-templated titania nanoarrays J. Phys. Chem. C 120 7067–76

[76]

Peng Q, Tseng Y C, Long Y, Mane A U, DiDona S, Darling S B and Elam J W 2017 Effect of nanostructured domains in self-assembled block copolymer films on sequential infiltration synthesis Langmuir 33 13214–23

[77]

Biswas M, Libera J A, Darling S B and Elam J W 2014 New insight into the mechanism of sequential infiltration synthesis from infrared spectroscopy Chem. Mater. 26 6135–41

[78]

Lorenzoni M, Evangelio L, Fernández-Regúlez M, Nicolet C, Navarro C and Pérez-Murano F 2017 Sequential infiltration of self-assembled block copolymers: a study by atomic force microscopy J. Phys. Chem. C 121 3078–86

[79]

Frascaroli J, Cianci E, Spiga S, Seguini G and Perego M 2016 Ozone-based sequential infiltration synthesis of Al2O3 nanostructures in symmetric block copolymer ACS Appl. Mater. Interfaces 8 33933–42

[80]

Xiao S G, Yang X M, Lee K Y, Ver Der Veerdonk R J M, Kuo D and Russell T P 2011 Aligned nanowires and nanodots by directed block copolymer assembly Nanotechnology 22 305302

[81]

Hong A J, Liu C C, Wang Y, Kim J, Xiu F X, Ji S, Zou J, Nealey P F and Wang K L 2010 Metal nanodot memory by self-assembled block copolymer lift-off Nano Lett. 10 224–9

[82]

Jung Y S, Lee J H, Lee J Y and Ross C A 2010 Fabrication of diverse metallic nanowire arrays based on block copolymer self-assembly Nano Lett. 10 3722–6

[83]

Kim Y C and Kim S Y 2018 Fabrication of gold nanowires in micropatterns using block copolymers RSC Adv. 8 19532–8

[84]

Huang Y G et al 2013 InAs nanowires grown by metal–organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning Nano Lett. 13 5979–84

[85]

Arias-Zapata J, Garnier J D, Mehedi H A, Legrain A, Salem B, Cunge G and Zelsmann M 2019 Engineering self-assembly of a high-χ block copolymer for large-area fabrication of transistors based on functional graphene nanoribbon arrays Chem. Mater. 31 3154–62

[86]

Jin C, Olsen B C, Luber E J and Buriak J M 2017 Nanopatterning via solvent vapor annealing of block copolymer thin films Chem. Mater. 29 176–88

[87]

Yoshimura Y, Chandra A, Nabae Y and Hayakawa T 2019 Chemically tailored high-χ block copolymers for perpendicular lamellae via thermal annealing Soft Matter 15 3497–506

[88]

Somervell M et al 2012 Comparison of directed self-assembly integrations Proc. SPIE 8325 83250G

[89]

Bita I, Yang J K W, Jung Y S, Ross C A, Thomas E L and Berggren K K 2008 Graphoepitaxy of self-assembled block copolymers on two-dimensional periodic patterned templates Science 321 939–43

[90]

Liu C C, Thode C J, Rincon Delgadillo P A, Craig G S W, Nealey P F and Gronheid R 2011 Towards an all-track 300 mm process for directed self-assembly J. Vac. Sci. Technol. B 29 06F203

[91]

Rincon Delgadillo P A et al 2012 Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment J. Micro/Nanolith. Microfab. Microsyst. 11 031302

[92]

Rincon Delgadillo P A et al 2013 Process sensitivities in exemplary chemo-epitaxy directed self-assembly integration Proc. SPIE 8680 86801H

[93]

Gronheid R, Rincon Delgadillo P, Singh A, Younkin T R, Suyan S, Chan B T, Van Look L, Bekaert J, Pollentir I and Nealey P F 2013 Readying directed self-assembly for patterning in semi-conductor manufacturing J. Photopolym. Sci. Technol. 26 779–91

[94]

Rincon Delgadillo P A, Gronheid R, Thode C J, Wu H P, Cao Y, Lin G, Somervell M, Nafus K and Nealey P F 2012 Geometric control of chemically nano-patterned substrates for feature multiplication using directed self-assembly of block copolymers J. Photopolym. Sci. Technol. 25 77–81

[95]

Rincon Delgadillo P et al 2013 Defect source analysis of directed self-assembly process (DSA of DSA) Proc. SPIE 8680 86800L

[96]

Gronheid R et al 2014 Defect reduction and defect stability in IMEC’s 14nm half-pitch chemo-epitaxy DSA flow Proc. SPIE 9049 904905

[97]

Ito C et al 2014 Inspection of directed self-assembly defects Proc. SPIE 9049 90492D

[98]

Pathangi H et al 2015 Defect mitigation and root cause studies in 14 nm half-pitch chemo-epitaxy directed self-assembly LiNe flow J. Micro/Nanolith. Microfab. Microsyst. 14 031204

[99]

Muramatsu M, Nishi T, You G, Ido Y and Kitano T 2018 Defect and roughness reduction of chemo-epitaxy DSA pattern Proc. SPIE 10584 105840M

[100]

Weng M H et al 2018 Directed self-assembly (DSA) for contact applications Proc. SPIE 10586 105861D

[101]

Zhou C et al 2018 Studying the effects of chemistry and geometry on DSA hole-shrink process in three-dimensions J. Micro/Nanolith. Microfab. Microsyst. 17 031203

[102]

Younkin T R et al 2013 Progress in directed self-assembly hole shrink applications Proc. SPIE 8682 86820L

[103]

Gronheid R et al 2013 Rectification of EUV-patterned contact holes using directed self-assembly Proc. SPIE 8682 86820A

[104]

Tiron R et al 2015 Contact hole shrink and multiplication by directed self-assembly of block copolymers: from material to integration MRS Proc. 1750 mrsf14-1750-kk04-03

[105]

Rathsack B et al 2012 Pattern scaling with directed self assembly through lithography and etch process integration Proc. SPIE 8323 83230B

[106]

Ko T H, Lo K H, Wu C H, Chang C Y, Lee C J and Lin J 2016 Defectivity study for directed self-assembly (DSA) contact hole shrink J. Photopolym. Sci. Technol. 29 793–6

[107]
Guillorn M et al 2008 FinFET performance advantage at 22nm: an AC perspective Proc. 2008 Symp. on VLSITechnology (Honolulu, HI: IEEE) pp 12–3
[108]

Tsai H et al 2014 Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication ACS Nano 8 5227–32

[109]

Liu C C et al 2018 Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond Nat. Electron. 1 562–9

[110]

Sayan S et al 2016 Toward sub-20 nm pitch Fin patterning and integration with DSA Proc. SPIE 9779 97790R

[111]

Mitra J, Torres A and Pan D Z 2017 Process, design rule, and layout co-optimization for DSA based patterning of sub-10 nm Finfet devices Proc. SPIE 10144 101440G

[112]

Lai K F et al 2017 Design technology co-optimization assessment for directed self-assembly-based lithography: design for directed self-assembly or directed self-assembly for design? J. Micro/Nanolith. Microfab. Microsyst. 16 013502

[113]

Park W I, You B K, Mun B H, Seo H K, Lee J Y, Hosaka S, Yin Y, Ross C A, Lee K J and Jung Y S 2013 Self-assembled incorporation of modulated block copolymer nanostructures in phase-change memory for switching power reduction ACS Nano 7 2651–8

[114]

You B K, Park W I, Kim J M, Park K I, Seo H K, Lee J Y, Jung Y S and Lee K J 2014 Reliable control of filament formation in resistive memories by self-assembled nanoinsulators derived from a block copolymer ACS Nano 8 9492–502

[115]

Chen H Y et al 2017 Resistive random access memory (RRAM) technology: from material, device, selector, 3D integration to bottom-up fabrication J. Electroceram. 39 21–38

[116]

Frascaroli J, Brivio S, Ferrarese Lupi F, Seguini G, Boarino L, Perego M and Spiga S 2015 Resistive switching in high-density nanodevices fabricated by block copolymer self-assembly ACS Nano 9 2518–29

[117]

Albrecht T R et al 2015 Bit-patterned magnetic recording: theory, media fabrication, and recording performance IEEE Trans. Magn. 51 0800342

[118]

Ji S X, Wan L, Liu C C and Nealey P F 2016 Directed self-assembly of block copolymers on chemical patterns: a platform for nanofabrication Prog. Polym. Sci. 54–5 76–127

[119]

Xiao S G, Yang X M, Steiner P, Hsu Y, Lee K, Wago K and Kuo D 2014 Servo-integrated patterned media by hybrid directed self-assembly ACS Nano 8 11854–9

[120]

Yang X M, Xiao S G, Hu W, Hwu J, Van De Veerdonk R, Wago K, Lee K and Kuo D 2014 Integration of nanoimprint lithography with block copolymer directed self-assembly for fabrication of a sub-20 nm template for bit-patterned media Nanotechnology 25 395301

[121]

Yang X M et al 2014 Fabrication of servo-integrated template for 1.5 Teradot/inch2 bit patterned media with block copolymer directed assembly J. Micro/Nanolith. Microfab. Microsyst. 13 031307

[122]

Doerk G S, Gao H, Wan L, Lille J, Patel K C, Chapuis Y-A, Ruiz R and Albrecht T R 2015 Transfer of self-aligned spacer patterns for single-digit nanofabrication Nanotechnology 26 085304

[123]

Xiong S, Chapuis Y A, Wan L, Gao H, Li X, Ruiz R and Nealey P F 2016 Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing Nanotechnology 27 415601

[124]

Hulkkonen H H, Salminen T and Niemi T 2017 Block copolymer patterning for creating porous silicon thin films with tunable refractive indices ACS Appl. Mater. Interfaces 9 31260–5

[125]

Mokarian-Tabari P, Senthamaraikannan R, Glynn C, Collins T, Cummins C, Nugent D, O’Dwyer C and Morris M A 2017 Large block copolymer self-assembly for fabrication of subwavelength nanostructures for applications in optics Nano Lett. 17 2973–8

[126]

Rasappa S, Schulte L, Ndoni S and Niemi T 2018 Directed self-assembly of a high-chi block copolymer for the fabrication of optical nanoresonators Nanoscale 10 18306–14

International Journal of Extreme Manufacturing
Pages 032006-032006
Cite this article:
Chen Y, Xiong S. Directed self-assembly of block copolymers for sub-10 nm fabrication. International Journal of Extreme Manufacturing, 2020, 2(3): 032006. https://doi.org/10.1088/2631-7990/aba3ae

208

Views

6

Downloads

42

Crossref

N/A

Web of Science

44

Scopus

0

CSCD

Altmetrics

Received: 02 March 2020
Revised: 22 April 2020
Accepted: 07 July 2020
Published: 12 August 2020
© 2020 The Author(s).

Original content from this work may be used under the terms of the Creative Commons Attribution 3.0 licence. Any further distribution of this work must maintain attribution to the author(s) and the title of the work, journal citation and DOI.

Return