AI Chat Paper
Note: Please note that the following content is generated by AMiner AI. SciOpen does not take any responsibility related to this content.
{{lang === 'zh_CN' ? '文章概述' : 'Summary'}}
{{lang === 'en_US' ? '中' : 'Eng'}}
Chat more with AI
PDF (36.1 MB)
Collect
Submit Manuscript AI Chat Paper
Show Outline
Outline
Show full outline
Hide outline
Outline
Show full outline
Hide outline
Open Access

Advanced Process and Electron Device Technology

Dan ZhangXiaojing SuHao ChangHao XuXiaolei WangXiaobin HeJunjie LiFei ZhaoQide YaoYanna LuoXueli MaHong YangYongliang LiZhenhua WuYajuan SuTao YangYayi WeiAnyan DuHuilong ZhuJunfeng LiHuaxiang YinJun LuoTianchun YeWenwu Wang( )
Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
University of Chinese Academy of Sciences, Beijing 100049, China
Show Author Information

Abstract

This article reviews advanced process and electron device technology of integrated circuits, including recent featuring progress and potential solutions for future development. In 5 years, for pushing the performance of fin field-effect transistors (FinFET) to its limitations, several processes and device boosters are provided. Then, the three-dimensional (3D) integration schemes with alternative materials and device architectures will pave paths for future technology evolution. Finally, it could be concluded that Moore’s law will undoubtedly continue in the next 15 years.

References

[1]
IEEE, International roadmap for devices and systems 2020 update MORE MOORE, https://irds.ieee.org/images/files/pdf/2020/2020IRDSMM.pdf, 2020.
[2]
G. Yeap, S. S. Lin, Y. M. Chen, H. L. Shang, P. W. Wang, H. C. Lin, Y. C. Peng, J. Y. Sheu, M. Wang, X. Chen, et al., 5 nm CMOS production technology platform featuring full-fledged EUV, and high mobility channel FinFETs with densest 0.021 μm2 SRAM cells for mobile SoC and high performance computing applications, presented at 2019 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2019, pp. 36.7.1-36.7.4.
[3]
N. Loubet, T. Hook, P. Montanini, C. W. Yeung, S. Kanakasabapathy, M. Guillom, T. Yamashita, J. Zhang, X. Miao, J. Wang, et al., Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET, presented at 2017 Symp. VLSI Technology, Kyoto, Japan, 2017, pp. T230&T231.
[4]
S. Subramanian, M. Hosseini, T. Chiarella, S. Sarkar, P. Schuddinck, B. T. Chan, D. Radisic, G. Mannaert, A. Hikavyy, E. Rosseel, et al., First monolithic integration of 3D complementary FET (CFET) on 300mm wafers, presented at 2020 IEEE Symp. VLSI Technology, Honolulu, HI, USA, 2020, pp. 1&2.
[5]
C. Y. Huang, G. Dewey, E. Mannebach, A. Phan, P. Morrow, W. Rachmady, I. C. Tung, N. Thomas, U. Alaan, R. Paul, et al., 3-D self-aligned stacked NMOS-on-PMOS nanoribbon transistors for continued Moore’s law scaling, presented at 2020 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2020, pp. 20.6.1-20.6.4.
[6]
Z. Tőkei, End of Cu roadmap and beyond Cu, presented at 2016 IEEE Int. Interconnect Technology Conf./Advanced Metallization Conf., San Jose, CA, USA, 2016, pp. 1-58.
[7]
K. Prall, K. Parat, 25nm 64Gb MLC NAND technology and scaling challenges, in Proc. 2010 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2010, pp. 5.2.1-5.2.4.
[8]
Synopsys DTCO Flow: Technology Development, https://www.synopsys.com/silicon/resources/articles/dtco-flow.html, 2021.
[9]
N. Fu, Y. X. Liu, X. L. Ma, and Z. F. Chen, EUV lithography: State-of-the-art review, J. Microelectron. Manuf., vol. 2, no. 2, p. 19020202, 2019.
[10]
I. Fomenkov, EUV source for high volume manufacturing: Performance at 250 W and key technologies for power scaling, presented at 2017 Source Workshop, Dublin, Ireland, 2017.
[11]
H. Mizoguchi, H. Nakarai, T. Abe, K. M. Nowak, Y. Kawasuji, H. Tanaka, Y. Watanabe, T. Hori, T. Kodama, Y. Shiraishi, et al., High power LPP-EUV source with long collector mirror lifetime for high volume semiconductor manufacturing, presented at 2018 China Semiconductor Technology Int. Conf., Shanghai, China, 2018, pp. 1-7.
[12]
V. Jindal, G. Fong, S. W. Liu, M. Chandrachood, A. Rastegar, and V. Banthia, Advanced deposition techniques for next generation EUV mask blanks, presented at EUVL Workshop 2018, Berkeley, CA, USA, 2018.
[13]
C. M. Huard, Y. T. Zhang, S. Sriraman, A. Patersond, K. J. Kanarike, and M. J. Kushnerf, Atomic layer etching of 3D structures in silicon: Self-limiting and nonideal reactions, J. Vac. Sci. Technol. A, vol. 35, no. 3, p. 031306, 2017.
[14]
X. G. Yin, H. L. Zhu, L. H. Zhao, G. L. Wang, C. Li, W. X. Huang, Y. K. Zhang, K. P. Jia, J. J. Li, and H. H. Radamson, Study of isotropic and Si-selective quasi atomic layer etching of Si1-xGex, ECS J. Solid State Sci. Technol., vol. 9, no. 3, p. 034012, 2020.
[15]
C. Li, H. L. Zhu, Y. K. Zhang, X. G. Yin, K. P. Jia, J. J. Li, G. L. Wang, Z. Z. Kong, A. Y. Du, T. Z. Yang, et al., Selective digital etching of silicon-germanium using nitric and hydrofluoric acids, ACS Appl. Mater. Interfaces, vol. 12, no. 42, pp. 48170-48178, 2020.
[16]
J. J. Li, Y. L. Li, N. Zhou, G. L. Wang, Q. Z. Zhang, A. Y. Du, Y. K. Zhang, J. F. Gao, Z. Z. Kong, H. X. Lin, et al., A novel dry selective isotropic atomic layer etching of SiGe for manufacturing vertical nanowire array with diameter less than 20 nm, Materials, vol. 13, no. 3, p. 771, 2020.
[17]
D. Benoit, J. Mazurier, B. Varadarajan, S. Chhun, S. Lagrasta, C. Gaumer, D. Galpin, C. Fenouillet-Beranger, D. Vo-Thanh, D. Barge, et al., Interest of SiCO low   k=4.5 spacer deposited at low temperature (400oC) in the perspective of 3D VLSI integration, presented at 2015 IEEE Int. Electron Devices Meeting, Washington, DC, USA, 2015, pp. 8.6.1-8.6.4.
[18]
J. Huang, Q. Li, E. Chong, C. Yi, R. Li, C. Gai, Z. Ma, Y. Zhang, and P. Albert, Challenges and solutions for 14nm FinFET etching, presented at 2015 China Semiconductor Technology International Conference, Shanghai, China, 2015, pp. 1-4.
[19]
H.-B. Trong, J. Ryckaert, Z. T˝okei, A. Mercha, D. Verkest, A. V.-Y. Thean, and P. Wambacq, Statistical timing analysis considering device and interconnect variability for BEOL requirements in the 5-nm node and beyond, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 5, pp. 1669-1680, 2017.
[20]
D. Gall, The search for the most conductive metal for narrow interconnect lines, J. Appl. Phys., vol. 127, no. 5, p. 050901, 2020.
[21]
C. K. Hu, J. Kelly, H. Huang, K. Motoyama, H. Shobha, Y. Ostrovski, J. H. C. Chen, R. Patlolla, B. Peethala, P. Adusumilli, et al., Future on-chip interconnect metallization and electromigration, presented at 2018 IEEE Int. Reliability Physics Symp., Burlingame, CA, USA, 2018, pp. 4F.1-1-4F.1-6.
[22]
R. H. Kim, B. H. Kim, J. N. Kim, J. J. Lee, J. M. Baek, J. H. Hwang, J. Hwang, J. Chang, S. Y. Yoo, T. J. Yim, et al., High performance Cu/low-k interconnect strategy beyond 10 nm logic technology, presented at 2015 IEEE Int. Interconnect Technology Conf. and 2015 IEEE Materials for Advanced Metallization Conf., Grenoble, France, 2015, pp. 1-4.
[23]
M. He, X. Zhang, T. Nogami, X. Lin, J. Kelly, H. Kim, T. Spooner, D. Edelstein, and L. Zhao, Mechanism of Co liner as enhancement layer for Cu interconnect gap-fill, J. Electrochem. Soc., vol. 160, no. 12, pp. D3040-D3044, 2013.
[24]
X. X. Sun, B. Peethala, M. Hopstaken, C. K. Hu, P. S. Mclaughlin, O. van der Straten, J. Demarest, K. Motoyama, T. Nogami, X. Lin, et al., Experimental study of PVD Cu/CVD Co bilayer dissolution for BEOL Cu interconnect applications, ECS Trans., vol. 80, no. 4, p. 297, 2017.
[25]
T. Nogami, B. D. Briggs, S. Korkmaz, M. Chae, C. Penny, J. T. Li, W. Wang, P. S. McLaughlin, T. Kane, C. Parks, et al., Through-cobalt self forming barrier (tCoSFB) for Cu/ULK BEOL: A novel concept for advanced technology nodes, presented at 2015 IEEE Int. Electron Devices Meeting, Washington, DC, USA, 2015, pp. 8.1.1-8.1.4.
[26]
N. Jourdan, M. H. van der Veen, V. V. Gonzalez, K. Croes, A. Lesniewska, O. V. Pedreira, S. Van Elshocht, J. Bömmels, and Z. Tökei, CVD-Mn/CVD-Ru-based barrier/liner solution for advanced BEOL Cu/low-k interconnects, presented at 2016 IEEE Int. Interconnect Technology Conf./Advanced Metallization Conf., San Jose, CA, USA, 2016, pp. 37-39.
[27]
A. M. Caro, G. Maes, G. Borghs, and C. M. Whelan, Screening self-assembled monolayers as Cu diffusion barriers, Microelectron. Eng., vol. 85, no. 10, pp. 2047-2050, 2008.
[28]
P. Rodriguez, R. Famulok, Y. Le Friec, J. P. Reynard, B. N. Bozon, F. Boyer, K. Dabertrand, C. Jahan, S. Favier, Y. Mazel, et al., Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM, Mater. Sci. Semicond. Process., vol. 71, pp. 433-440, 2017.
[29]
Y. H. Su, T. C. Kuo, W. H. Lee, Y. S. Wang, C. C. Hung, W. H. Tseng, K. H. Wei, and Y. L. Wang, Effect of tungsten incorporation in cobalt tungsten alloys as seedless diffusion barrier materials, Microelectron. Eng., vol. 171, pp. 25-30, 2017.
[30]
D. Zhang, A. Y. Du, C. Zhao, J. F. Gao, S. J. Mao, J. Xu, S. H. Gu, Y. D. Liu, X. Luo, Y. L. Li, et al., Investigation of barrier property of amorphous Co-Ti layer as single barrier/liner in local Co interconnects, IEEE Trans. Electron Devices, vol. 67, no. 5, pp. 2076-2081, 2020.
[31]
C. Y. Lee, M. P. Lu, K. F. Liao, W. F. Lee, C. T. Huang, S. Y. Chen, and L. J. Chen, Free-standing single-crystal NiSi2 nanowires with excellent electrical transport and field emission properties, J. Phys. Chem. C, vol. 113, no. 6, pp. 2286-2289, 2009.
[32]
J. C. Mahato, D. Das, N. Banu, B. Satpati, and B. N. Dev, Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates, Nanotechnology, vol. 28, no. 42, p. 425603, 2017.
[33]
M. Nihei, A. Kawabata, D. Kondo, M. Horibe1, S. Sato, and Y. Awano, Electrical properties of carbon nanotube bundles for future via interconnects, Jpn. J. Appl. Phys., vol. 44, no. 4R, pp. 1626-1628, 2005.
[34]
X. Y. Zhang, H. Huang, R. Patlolla, W. Wang, F. W. Mont, J. T. Li, C. K. Hu, E. G. Liniger, P. S. McLaughlin, and C. Labelle, Ruthenium interconnect resistivity and reliability at 48 nm pitch, presented at 2016 IEEE Int. Interconnect Technology Conf./Advanced Metallization Conf., San Jose, CA, USA, 2016, pp. 31-33.
[35]
L. G. Wen, C. Adelmann, O. V. Pedreira, S. Dutta, M. Popovici, B. Briggs, N. Heylen, K. Vanstreels, C. J. Wilson, S. Van Elshocht, et al., Ruthenium metallization for advanced interconnects, presented at 2016 Int. Interconnect Technology Conf./Advanced Metallization Conf., San Jose, CA, USA, 2016, pp. 34-36.
[36]
S. Dutta, K. Moors, M. Vandemaele, and C. Adelmann, Finite size effects in highly scaled ruthenium interconnects, IEEE Electron Device Lett., vol. 39, no. 2, pp. 268-271, 2018.
[37]
N. Bekiaris, Z. Y. Wu, H. Ren, M. Naik, J. H. Park, M. Lee, T. H. Ha, W. T. Hou, J. R. Bakke, M. Gage, et al., Cobalt fill for advanced interconnects, presented at 2017 IEEE Int. Interconnect Technology Conf., Hsinchu, China, 2017, pp. 1-3.
[38]
M. Naik, Interconnect trend for single digit nodes, presented at 2018 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2018, pp. 5.6.1-5.6.4.
[39]
K. Croes, C. Adelmann, C. J. Wilson, H. Zahedmanesh, O. V. Pedreira, C. Wu, A. Leśniewska, H. Oprins, S. Beyne, I. Ciofi, et al., Interconnect metals beyond copper: reliability challenges and opportunities, presented at 2018 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2018, pp. 5.3.1-5.3.4.
[40]
P. Bhosale, S. Parikh, N. Lanzillo, R. Tao, T. Nogami, M. Gage, R. Shaviv, H. Huang, A. Simon, M. Stolfi, et al., Composite interconnects for high-performance computing beyond the 7 nm node, presented at 2020 IEEE Symp. VLSI Technology, Honolulu, HI, USA, 2020, pp. 1&2.
[41]
H. Yu, M. Schaekers, S. Demuynck, K. Barla, A. Mocuta, N. Horiguchi, N. Collaert, A. V. Y. Thean, and K. De Meyer, MIS or MS? Source/drain contact scheme evaluation for 7 nm Si CMOS technology and beyond, presented at 16th Int. Workshop on Junction Technology, Shanghai, China, 2016, pp. 19-24.
[42]
K. Kasahara, S. Yamada, T. Sakurai, K. Sawano, H. Nohira, M. Miyao, and K. Hamaya, Reliable reduction of Fermi-level pinning at atomically matched metal/Ge interfaces by sulfur treatment, Appl. Phys. Lett., vol. 104, no. 17, p. 172109, 2014.
[43]
K. H. Shen, S. H. Chen, W. T. Liu, B. H. Wu, and L. J. Chen, Effective Schottky barrier lowering of Ni silicide/p-Si(100) using an ytterbium confinement structure for high performance n-type MOSFETs, Mater. Des., vol. 114, pp. 220-225, 2017.
[44]
H. Yu, M. Schaekers, A. Peter, G. Pourtois, E. Rosseel, J. G. Lee, W. B. Song, K. M. Shin, J. L. Everaert, S. A. Chew, et al., Titanium silicide on Si:P with precontact amorphization implantation treatment: Contact resistivity approaching 1×10-9 ohm-cm2, IEEE Trans. Electron Devices, vol. 63, no. 12, pp. 4632-4641, 2016.
[45]
J. Gambino, Process technology for copper interconnects, in Handbook of Thin Film Deposition, K. Seshan, ed. 3rd ed. Waltham, MA, USA: William Andrew Publishing, 2012, pp. 221-269.
[46]
K. Cheng, C. Park, C. Yeung, S. Nguyen, J. Zhang, X. Miao, M. Wang, S. Mehta, J. Li, C. Surisetty, et al., Air spacer for 10nm FinFET CMOS and beyond, presented at 2016 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2016, pp. 17.1.1-17.1.4.
[47]
L. W. Liebmann, K. Vaidyanathan, and L. Pileggi, Design Technology Co-Optimization in the Era of Sub-Resolution IC Scaling. Bellingham, WA, USA: SPIE, 2015, p. 102.
[48]
L. D. Zhuang, J. Pang, J. Xu, M. F. Tsai, X. L. Shi, Q. W. Liu, E. Yang, Y. F. Zhang, J. Sweis, Y. C. Lai, et al., Migrating from older to newer technology nodes and discovering the process weak-points, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810L.
[49]
J. H. Lee, C. Kim, M. Kang, S. Hwang, J. S. Yang, M. Harb, M. Al-Imam, K. Madkour, W. ElManhawy, and J. Kwan, A random approach of test macro generation for early detection of hotspots, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810J.
[50]
M. Fakhry, K. Madkour, W. ElManhawy, J. Cain, and J. Kwan, Pattern-based DTCO flow for early estimation of lithographic difficulty using optical image processing, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810I.
[51]
Y. C. Chen, S. S. Yeh, T. H. Ou, H. Y. Lin, Y. C. Mai, L. Lin, J. C. Lai, Y. C. Lai, W. Xu, and P. Hurat, A fast process development flow by applying design technology co-optimization, in Proc. SPIE 10148, Design-Process-Technology Co-Optimization for Manufacturability XI, San Jose, CA, USA, 2017, p. 1014815.
[52]
L. D. Zhuang, J. Pang, J. Xu, M. F. Tsai, A. Wang, Y. F. Zhang, J. Sweis, Y. C. Lai, and H. Ding, Using pattern enumeration to accelerate process development and ramp yield, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810A.
[53]
B. Yu, D. Z. Pan, T. Matsunawa, and X. Zeng, Machine learning and pattern matching in physical design, presented at 20th Asia and South Pacific Design Automation Conf., Chiba, Japan, 2015, pp. 286-293.
[54]
H. Y. Yang, L. Y. Luo, J. Su, C. X. Lin, and B. Yu, Imbalance aware lithography hotspot detection: A deep learning approach, J. Micro/Nanolith., MEMS, MOEMS, vol. 16, no. 3, p. 033504, 2017.
[55]
I. Nitta, Y. Kanazawa, T. Ishida, and K. Banno, A fuzzy pattern matching method based on graph kernel for lithography hotspot detection, in Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, San Jose, CA, USA, 2017, p. 101480U.
[56]
M. Shin and J. H. Lee, Accurate lithography hotspot detection using deep convolutional neural networks, J. Micro/Nanolith., MEMS, MOEMS, vol. 15, no. 4, p. 043507, 2016.
[57]
T. Kimura, T. Matsunawa, S. Nojima, and D. Z. Pan, Hybrid hotspot detection using regression model and lithography simulation, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2017, p. 97810C.
[58]
D. Han, J. Chang, T. Kim, K. Lee, Y. Kim, J. Kang, A. Hong, B. Choi, J. Lee, H. J. Kim, et al., The new analysis method of PWQ in the DRAM pattern, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810R.
[59]
E. Zhao, J. Wang, M. Sun, J. Wang, Y. F. Zhang, J. Sweis, Y. C. Lai, and H. Ding, Using pattern analysis methods to do fast detection of manufacturing pattern failures, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810Z.
[60]
L. D. Zhuang, A. N. Zhu, Y. F. Zhang, J. Sweis, and Y. C. Lai, A pattern-based design analysis method by using inline inspection data more efficiently, in Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, San Jose, CA, USA, 2017, p. 101480X.
[61]
E. Zou, S. Hong, L. M. Liu, L. Huang, L. Yang, A. Kabeel, K. Madkour, W. ElManhawy, J. Kwan, C. S. Du, et al., A random generation approach to pattern library creation for full chip lithographic simulation, in Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, San Jose, CA, USA, 2017, p. 1014811.
[62]
N. J. Kim, K. Park, J. Oh, S. Jung, S. Lee, J. H. Kang, S. W. Paek, K. Madkour, W. ElManhawy, A. Kabeel, et al., Machine learning to improve accuracy of fast lithographic hotspot detection, in Proc. SPIE 10962, Design-Process-Technology Co-optimization for Manufacturability XIII, San Jose, CA, USA, 2019, p. 1096216.
[63]
Z. Zhang, R. S. Wang, C. Chen, Q. Q. Huang, Y. Y. Wang, C. Hu, D. H. Wu, J. Wang, and R. Huang, New-generation design-technology co-optimization (DTCO): Machine-learning assisted modeling framework, presented at 2019 Silicon Nanoelectronics Workshop, Kyoto, Japan, 2019, pp. 1&2.
[64]
Z. Z. Wan, L. M. Liu, H. Kan, Q. J. Wan, X. Y. Hu, Z. F. Liu, and C. S. Du, An efficient way of automatic layout decomposition and pattern classification, in Proc. SPIE 10962, Design-Process-Technology Co-optimization for Manufacturability XIII, San Jose, CA, USA, 2019, p. 1096214.
[65]
J. Jiang, G. Li, F. Hou, Q. J. Wan, X. Y. Hu, Z. F. Liu, Z. X. Chen, and C. S. Du, An efficient way to accelerate litho hotspot checking, in Proc. SPIE 11328, Design-Process-Technology Co-optimization for Manufacturability XIV, San Jose, CA, USA, 2020, p. 1132818.
[66]
B. J. Falch, S. H. Baek, J. Tsai, M. C. Ji, and J. Zhu, Using pattern matching to increase performance in hotspot fixing flows, in Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, San Jose, CA, USA, 2017, p. 101481A.
[67]
X. J. Su, L. S. Dong, J. X. Lin, Y. Chen, Y. Y. Wei, T. C. Ye, C. S. Du, F. Shao, R. Zhang, Y. Zhu, et al., Hotspots fixing flow in NTD process by using DTCO methodology at 10nm metal 1 layer, in Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, San Jose, CA, USA, 2017, p. 1014817.
[68]
H. Li, E. Zou, R. Lee, S. Hong, S. Liu, J. Y. Wang, C. S. Du, R. Zhang, K. Madkour, H. Ali, et al., Design space exploration for early identification of yield limiting patterns, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810W.
[69]
Y. L. Duan, X. J. Su, Y. Chen, Y. J. Su, F. Shao, R. Zhang, J. J. Lei, and Y. Y. Wei, Design technology co-optimization for 14/10 nm metal1 double patterning layer, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 97810X.
[70]
J. P. Cain, M. Fakhry, P. Pathak, J. Sweis, F. E. Gennari, and Y. C. Lai, Pattern-based analytics to estimate and track yield risk of designs down to 7 nm, in Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, San Jose, CA, USA, 2017, p. 1014805.
[71]
X. J. Su, R. Chen, Y. Y. Wei, Y. J. Su, and L. S. Dong, A fast DFM-driven standard cell qualification approach for critical layers of 14nm technology node, in Proc. SPIE 11148, Photomask Technology, Monterey, CA, USA, 2019, p. 1114818.
[72]
C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, M. Buehler, V. Chikarmane, T. Ghani, T. Glassman, et al., A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors, in Proc. 2012 Symp. VLSI Technology, Honolulu, HI, USA, 2012, pp. 131&132.
[73]
W. C. Jeong, S. Maeda, H. J. Lee, K. W. Lee, T. J. Lee, D. W. Park, B. S. Kim, J. H. Do, T. Fukai, D. J. Kwon, et al., True 7nm platform technology featuring smallest FinFET and smallest SRAM cell by EUV, special constructs and 3rd generation single diffusion break, presented at 2018 IEEE Symp. VLSI Technology, Honolulu, HI, USA, 2018, pp. 59&60.
[74]
H. J. Cho, H. S. Oh, K. J. Nam, Y. H. Kim, K. H. Yeo, W. D. Kim, Y. S. Chung, Y. S. Nam, S. M. Kim, W. H. Kwon, et al., Si FinFET based 10nm technology with multi Vt gate stack for low power and high performance applications, presented at 2016 IEEE Symp. VLSI Technology, Honolulu, HI, USA, 2016, pp. 1&2.
[75]
S.-Y. Wu, Key Technology enablers of innovations in the AI and 5G era, in Proc. 2019 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2019, pp. 36.3.1-36.3.4.
[76]
S. Y. Wu, C. Y. Lin, M. C. Chiang, J. J. Liaw, J. Y. Cheng, S. H. Yang, C. H. Tsai, P. N. Chen, T. Miyashita, C. H. Chang, et al., A 7 nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027 μm2 high density 6-T SRAM cell for mobile SoC applications, presented at 2016 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2016, pp. 2.6.1-2.6.4.
[77]
J. M. Hartmann, V. Benevent, J. F. Damlencourt, and T. Billon, A benchmarking of silane, disilane and dichlorosilane for the low temperature growth of group IV layers, Thin Solid Films, vol. 520, no. 8, pp. 3185-3189, 2012.
[78]
Y. L. Li, X. H. Cheng, Z. Y. Zhong, Q. Z. Zhang, G. L. Wang, Y. Li, J. J. Li, X. L. Ma, X. L. Wang, H. Yang, et al., Key process technologies for stacked double Si0.7Ge0.3 channel nanowires fabrication, ECS J. Solid State Sci. Technol., vol. 9, no. 6, p. 064009, 2020.
[79]
M. H. Hong and D. C. Perng, Effects on selective epitaxial growth of strained-SiGe p-MOSFETs on various (001) Si recess structures, J. Theor. Appl. Phys., vol. 11, no. 4, pp. 313-317, 2017.
[80]
H. Mertens, R. Ritzenthaler, V. Pena, G. Santoro, K. Kenis, A. Schulze, E. D. Litta, S. A. Chew, K. Devriendt, R. Chiarella, et al., Vertically stacked gate-all-around Si nanowire transistors: Key process optimizations and ring oscillator demonstration, presented at 2017 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2017, pp. 37.4.1-37.4.4.
[81]
A. Hikavyy, I. Zyulkov, H. Mertens, L. Witters, R. Loo, and N. Horiguchi, Use of high order precursors for manufacturing gate all around devices, Mater. Sci. Semicond. Process., vol. 70, pp. 24-29, 2017.
[82]
A. Hikavyy, A. Kruv, T. Van Opstal, B. De Vos, C. Porret, and R. Loo, Investigation of Cl2 etch in view of extremely low temperature selective epitaxial processes, Semicond. Sci. Technol., vol. 32, no. 11, p. 114006, 2017.
[83]
C. Porret, A. Hikavyy, J. F. G. Granados, S. Baudot, A. Vohra, B. Kunert, B. Douhard, J. Bogdanowicz, M. Schaekers, D. Kohen, et al., Very low temperature epitaxy of group-IV semiconductors for use in FinFET, stacked nanowires and monolithic 3D integration, ECS J. Solid State Sci. Technol., vol. 8, no. 8, pp. P392-P399, 2019.
[84]
Y. Qi, J. W. Peng, H. C. Lo, J. R. Holt, M. Willemann, C. Gaire, S. Evans, P. Flanagan, H. Yu, O. Hu, et al., In-situ boron doped SiGe epitaxy optimization for FinFET source/drain, ECS Trans., vol. 75, no. 8, pp. 265-272, 2016.
[85]
S. Uppal, A. F. W. Willoughby, J. M. Bonar, Nick E. B. Cowern, T. Grasby, R. J. H. Morris, and M. G. Dowsett, Diffusion of boron in germanium at 800-900oC, J. Appl. Phys., vol. 96, no. 3, pp. 1376-1380, 2004.
[86]
L. L. Wang, H. Yu, M. Schaekers, J. L. Everaert, A. Franquet, B. Douhard, L. Date, J. del Agua Borniquel, K. Hollar, F. A. Khaja, et al., Comprehensive study of Ga activation in Si, SiGe and Ge with 5 × 10-10Ω·cm2 contact resistivity achieved on Ga doped Ge using nanosecond laser activation, presented at 2017 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2017, pp. 22.4.1-22.4.4.
[87]
J. L. Everaert, M. Schaekers, H. Yu, L. L. Wang, A. Hikavyy, L. Date, J. del Agua Borniquel, K. Hollar, F. A. Khaja, W. Aderhold, et al., Sub-10-9Ω·cm2 contact resistivity on p-SiGe achieved by Ga doping and nanosecond laser activation, presented at 2017 Symp. VLSI Technology, Kyoto, Japan, 2016, pp. T214&T215.
[88]
R. Loo, A. Vohra, C. Porret, A. Hikavyy, E. Rosseel, M. Schaekers, E. Capogreco, Y. Shimura, D. Kohen, J. Tolle, et al., Epitaxial growth of (Si)GeSn source/drain layers for advanced Ge gate all around devices, presented at 2019 Compound Semiconductor Week, Nara, Japan, 2019, pp. 1&2.
[89]
T. Tabata, J. Aubin, K. Huet, F. Mazzamuto, Y. Mori, A. La Magna, L. M. Rubin, P. Kopalidis, H. C. Tsai, D. Roh, et al., Super activation of highly surface segregated dopants in high Ge content SiGe obtained by melt UV laser annealing, presented at 22nd Int. Conf. Ion Implantation Technology, Würzburg, Germany, 2018, pp. 353-356.
[90]
M. Chu, Y. K. Sun, U. Aghoram, and S. E. Thompson, Strain: A solution for higher carrier mobility in nanoscale MOSFETs, Ann. Rev. Mater. Res., vol. 39, pp. 203-229, 2009.
[91]
J. Margetis, S. A. Ghetmiri, W. Du, B. R. Conley, A. Mosleh, R. Soref, G. Sun, L. Domulevicz, H. A. Naseem, S. Q. Yu, et al., Growth and characterization of epitaxial Ge1-xSnx alloys and heterostructures using a commercial CVD system, ECS Trans., vol. 64, no. 6, pp. 711-720, 2014.
[92]
D. Kohen, A. Vohra, R. Loo, W. Vandervorst, N. Bhargava, J. Margetis, and J. Tolle, Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers, J. Cryst. Growth, vol. 483, pp. 285-290, 2018.
[93]
A. Vohra, C. Porret, D. Kohen, S. Folkersma, J. Bogdanowicz, M. Schaekers, J. Tolle, A. Hikavyy, E. Capogreco, L. Witters, et al., Low temperature epitaxial growth of Ge:B and Ge0.99Sn0.01:B source/drain for Ge pMOS devices: In-situ and conformal B-doping, selectivity towards oxide and nitride with no need for any post-epi activation treatment, Jpn. J. Appl. Phys., vol. 58, no. SB, p. SBBA04, 2019.
[94]
G. Tsutsui, C. Durfee, M. M. Wang, A. Konar, H. Wu, S. Mochizuki, R. Q. Bao, S. Bedell, J. T. Li, H. M. Zhou, et al., Leakage aware Si/SiGe CMOS FinFET for low power applications, presented at 2018 IEEE Symp. VLSI Technology, Honolulu, HI, USA, 2018, pp. 87&88.
[95]
K. Sardashti, K. T. Hu, K. C. Tang, S. Park, H. Kim, S. Madisetti, P. McIntyre, S. Oktyabrsky, S. Siddiqui, B. Sahu, et al., Sulfur passivation for the formation of Si-terminated Al2O3/SiGe(0 0 1), interfaces, Appl. Surf. Sci., vol. 366, pp. 455-463, 2016.
[96]
S. Sioncke, H. C. Lin, L. Nyns, G. Brammertz, A. Delabie, T. Conard, A. Franquet, J. Rip, H. Struyf, S. De Gendt, et al., S-passivation of the Ge gate stack: Tuning the gate stack properties by changing the atomic layer deposition oxidant precursor, J. Appl. Phys., vol. 110, no. 8, p. 084907, 2011.
[97]
K. Sardashti, K. T. Hu, K. C. Tang, S. Madisetti, P. McIntyre, S. Oktyabrsky, S. Siddiqui, B. Sahu, N. Yoshida, J. Kachian, et al., Nitride passivation of the interface between high-k dielectrics and SiGe, Appl. Phys. Lett., vol. 108, no. 1, p. 011604, 2016.
[98]
B. G. Min, Y. H. Pae, K. S. Jun, D. H. Ko, H. Kim, M. H. Cho, and T. W. Lee, Formation of a Ge-rich layer during the oxidation of strained Si1-xGex, J. Appl. Phys., vol. 100, no. 1, p. 016102, 2006.
[99]
J. P. Colinge, FinFETs and Other Multi-Gate Transistors, Boston, MA, USA: Springer, 2008.
[100]
J. P. Colinge, M. H. Gao, A. Romano-Rodriguez, H. Maes, and C. Claeys, Silicon-on-insulator ‘gate-all-around device’, in Proc. Int. Technical Digest on Electron Devices, San Francisco, CA, USA, 1990, pp. 595-598.
[101]
N. Singh, A. Agarwal, L. K. Bera, T. Y. Liow, R. Yang, S. C. Rustagi, C. H. Tung, R. Kumar, G. Q. Lo, N. Balasubramanian, et al., High-performance fully depleted silicon nanowire (diameter  5 nm) gate-all-around CMOS devices, IEEE Electron Device Lett., vol. 27, no. 5, pp. 383-386, 2006.
[102]
X. G. Yin, Y. K. Zhang, H. L. Zhu, G. L. Wang, J. J. Li, A. Y. Du, C. Li, L. H. Zhao, W. X. Huang, H. Yang, et al., Vertical sandwich gate-all-around field-effect transistors with self-aligned high-k metal gates and small effective-gate-length variation, IEEE Electron Device Lett., vol. 41, no. 1, pp. 8-11, 2020.
[103]
T. Huynh-Bao, J. Ryckaert, S. Sakhare, A. Mercha, D. Verkest, A. Thean, and P. Wambacq, Toward the 5nm technology: Layout optimization and performance benchmark for logic/SRAMs using lateral and vertical GAA FETs, in Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 2016, p. 978102.
[104]
J. Ryckaert, M. H. Na, P. Weckx, D. Jang, P. Schuddinck, B. Chehab, S. Patli, S. Sarkar, O. Zografos, R. Baert, et al.,Enabling sub-5nm CMOS technology scaling thinner and taller, presented at 2019 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2019, pp. 29.4.1-29.4.4.
[105]
C. Fenouillet-Beranger, P. Batude, L. Brunet, V. Mazzocchi, C. M. V. Lu, F. Deprat, J. Micout, M. P. Samson, B. Previtali, P. Besombes, et al., Recent advances in 3D VLSI integration, presented at 2016 Int. Conf. IC Design and Technology, Ho Chi Minh, Vietnam, 2016, pp. 1-4.
[106]
C. C. Yang, T. Y. Hsieh, P. T. Huang, K. N. Chen, W. C. Wu, S. W. Chen, C. H. Chang, C. H. Shen, J. M. Shieh, C. M. Hu, et al., Location-controlled-grain technique for monolithic 3D BEOL FinFET circuits, presented at 2018 IEEE Int. Electron Devices Meeting, San Francisco, CA, USA, 2018, pp. 11.3.1-11.3.4.
[107]
S. M. Jung, H. Lim, C. Yeo, K. Kwak, B. Son, H. Park, J. Na, J. J. Shim, C. M. Hong, and K. Kim, High speed and highly cost effective 72M bit density S3 SRAM technology with doubly stacked Si layers, peripheral only CoSix layers and tungsten shunt W/L scheme for standalone and embedded memory, presented at 2007 IEEE Symp. VLSI Technology, Kyoto, Japan, 2007, pp. 82-83.
[108]
P. Batude, M. Vinet, B. Previtali, C. Tabone, C. Xu, J. Mazurier, O. Weber, F. Andrieu, L. Tosti, L. Brevard, et al., Advances, challenges and opportunities in 3D CMOS sequential integration, presented at 2011 Int. Electron Devices Meeting, Washington, DC, USA, 2011, pp. 7.3.1-7.3.4.
[109]
F. Deprat, F. Nemouchi, C. Fenouillet-Beranger, P. Rodriguez, S. Joblot, M. Gregoire, D. Barge, P. Gergaud, N. Rambal, P. Batude, et al., Technological enhancers effect on Ni0.9Co0.1 silicide stability for 3D sequential integration, Phys. Status Solidi C, vol. 13, nos. 10-12, pp. 760-765, 2016.
[110]
M. Brocard, G. Berhault, S. Thuries, F. Clermidy, P. Batude, C. Fenouillet-Beranger, L. Brunet, F. Andrieu, F. Deprat, J. Lacord, et al., Impact of intermediate BEOL technology on standard cell performances of 3D VLSI, presented at 46th European Solid-State Device Research Conf., Lausanne, Switzerland, 2016, pp. 218-221.
[111]
C. Cavalcante, C. Fenouillet-Beranzer, P. Batude, X. Garros, X. Federspiel, J. Lacord, S. Kerdiles, A. S. Royet, P. Acosta-Alba, O. Rozeau, et al., 28 nm FDSOI CMOS technology (FEOL and BEOL) thermal stability for 3D sequential integration: Yield and reliability analysis, presented at 2020 IEEE Symp. VLSI Technology, Honolulu, HI, USA, 2020, pp. 1&2.
Tsinghua Science and Technology
Pages 534-558
Cite this article:
Zhang D, Su X, Chang H, et al. Advanced Process and Electron Device Technology. Tsinghua Science and Technology, 2022, 27(3): 534-558. https://doi.org/10.26599/TST.2021.9010049

1471

Views

251

Downloads

3

Crossref

2

Web of Science

3

Scopus

0

CSCD

Altmetrics

Received: 08 March 2021
Revised: 04 July 2021
Accepted: 12 July 2021
Published: 13 November 2021
© The author(s) 2022

The articles published in this open access journal are distributed under the terms of the Creative Commons Attribution 4.0 International License (http://creativecommons.org/licenses/by/4.0/).

Return